测试表示verilog和测试工具计算一致
2021-04-01 12:05:06 566KB crc verilog
通过verilog编写语言实现读写操作SPI的flash芯片,经过验证可用,能够实现读取芯片DEVICE id Cyclone IV E系列的EP4CE10F17C8 W25Q128BV
2021-03-30 15:26:01 6.55MB fpga SPI verilog flash
1
EP2C8Q208_RTL8208B_BCM5421S千兆网protel99设计硬件原理图+PCB文件+FPGA Verilog源码,硬件4层板设计,大小为200x150mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下:Library Component Count : 53 Name Description ---------------------------------------------------------------------------------------------------- 1K10P144 1K10P144 74ALVC164245DL 1K10P144 LM2831 1K10P144 SII1178 TX 1K10P144 4 HEADER HEADER 4 5208 8 HEADER HEADER 8 AT24C01A/02 AT45DB041B-S U? BCM5421S GBIT-CHIP CAP CAP-VD CON2 CON4 Connector CON6 Connector CON64 Connector DIODE Diode DIODE SCHOTTKY Schottky Diode DIODE SCHOTTKY2 Schottky Diode DS18B20 Q? ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS HEADER 2 HEADER 5X2 HEADER 6 HEADER 7X2 HEADER 8X2 HY57V653220 INDUCTOR1 INDUCTORIRON-VD JTAG LED LED-VD LT1086MC MAGNETIC MAGNETIC40 NPN NPN Transistor RES2 RES3-VD RES4 RES4-VD RESPACK4B-VD RTL8208B 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: alt_pll.v clk_div_80_125.v clk_test.v data_test.v init_bcm5421.v init_set.v Led_Ctrl_SV1.v mii_man_cnt.v pll_mega.bsf pll_mega.v query_link_state.v rtl8208b_smii_r.v rtl8208b_smii_t.v rx_t_2.v sdram_addr_test.v sdram_data_test.v sdram_init.v sdram_test_top.v tx_t_1.v
EP2C8Q208_RTL8201CL_(Dual link DVI) Hub主控板Protel99S设计硬件原理图PCB+BOM+FPGA Verilog源码+设计文档说明, 硬件4层板设计,大小为204x113mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下: DS18B20 Q? DVI_PLUG ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS H1102 HEADER 6 INDUCTOR JTAG LED LT1086MC PACDN006 PNP PNP Transistor RES2 RES3-VD RES4 S25FL SII163B SWPB-VD TFP410 TFP410 XTAL4-VD ZENER2 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: clk_lvds.v clk_test.v crmu_03.v dvi_hub_03.v dvi_out_02.v Led_Ctrl_SV1.v pll.v 2.总体设计概述 本板作为DVI Hub控制板,主要功能是接收计算机输入的DVI数据,分三向下行输出 根据上述功能, Dual link DVI Hub电路板可以分为以下几个部分: 1.FPGA部分。主要包括一块FPGA(EP2C8QF256)和一个EPCS4、一个有源晶振20MHhz 2.DVI receiver 部分。主要包括2片panellink receiver(SII163B)including master and slave 3.DVI send 部分. 主要包括3片 (TFP410A) 4.存储器部分:一个flash存储器(S25FL040A)和一个IIC(AT24C18) 5.DVI 传输端口部分。包括4个DVI端子, 6.工控部分:1个温度传感器DS18B20 7.电源部分 : FPGA的bank1和4、百兆芯片和DVI receiver、DVI send用3.3V电压由一片LDO供电(加一开关电源芯片AOZ1010AI以备选)。 FPGA的bank2和3用1.5V电压由一片 LDO供电。 FPGA的核电压用1.25V电压由一片 LDO供电 8.百兆接口部分:主要包括1个百兆芯片(RTL8201CL)、1个RJ45端子和1个百兆线圈H1102。时钟由FPGA提供
EP1C6Q240_FT245BM_IS61LV51216 FPGA应用开发板ALTIUM设计原理图PCB+FPGA VERILOG源码,4层板设计,大小为120x72mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 30 Name Description ---------------------------------------------------------------------------------------------------- 0006 4 HEADER HEADER 4 93C46 AT24C128 AT45DB041B-S U? Cap Capacitor Cap Pol1 Polarized Capacitor (Radial) DIODE Diode DIP_XTAL DSO751S ELECTRO1 EP1C6Q240 FT245BM HEADER 15X2 HEADER 20X2 IS61LV51216 Inductor Inductor LED LT1086MC MYEPCS4 MYJTAG R RESISTOR RES2 Res1 Resistor SST39SF010_020_040 SW-PB Switch USB_B ZENER2 配套的cyclone FPGA Verilog源码文件(非工程文件)如下: flash_to_sram_pro.v led.v pll2.v sram_read.v test_board.v test_io_cell.v
EP2C5T144C8+RTL8201CL双网口FPGA主控板ALTIUM设计硬件原理图PCB+FPGA Verilog源码,硬件4层板设计,大小为180x90mm,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下: Library Component Count : 40 Name Description ---------------------------------------------------------------------------------------------------- 1K10P144 1K10P144 74ALVC164245DL 1K10P144 LM2831 1K10P144 RTL8201CL 1K10P144 SII1178 TX 1K10P144 4 HEADER HEADER 4 AOZ1010AI AT24C01A/02 AT45DB041B-S U? CAP Capacitor CON2 CON4 Connector CON6 Connector DIODE Diode DS18B20 Q? DS26C31 DS90C032 DSO751S ELECTRO1 EP2C5 EP2C5Q144C8 EPCS4 H1102 HEADER 16X2 HEADER 4X2 HEADER 6 HEADER 8X2 INDUCTOR IS61LV2568L-8T JTAG LED LT1086MC MAGNETIC40 RES2 RES4 RJ45 SCD_PROGRAMMER SW-SPST ZENER2 配套的cyclone FPGA Verilog源码文件(非工程文件)如下: clk_test.v IO_test.v Led_Ctrl_SV1.v pll_mega.v RTL8201_test.v sram_test.v sys_test.v
ALTERA FPGA IP SRAM应用DEMO双口RAM读写测试Verilog源码工程文件, FPGA型号EP4CE10F17C,Quartus版本18.0。 module ram_rw( input clk , //时钟信号 input rst_n , //复位信号,低电平有效 output ram_wr_en , //ram写使能 output ram_rd_en , //ram读使能 output reg [4:0] ram_addr , //ram读写地址 output reg [7:0] ram_wr_data, //ram写数据 input [7:0] ram_rd_data //ram读数据 ); //reg define reg [5:0] rw_cnt ; //读写控制计数器 //***************************************************** //** main code //***************************************************** //rw_cnt计数范围在0~31,ram_wr_en为高电平;32~63时,ram_wr_en为低电平 assign ram_wr_en = ((rw_cnt >= 6'd0) && (rw_cnt = 6'd32) && (rw_cnt <= 6'd63)) ? 1'b1 : 1'b0; //读写控制计数器,计数器范围0~63 always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) rw_cnt <= 6'd0; else if(rw_cnt == 6'd63) rw_cnt <= 6'd0; else rw_cnt <= rw_cnt + 6'd1; end //读写控制器计数范围:0~31 产生ram写使能信号和写数据信号 always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) ram_wr_data = 6'd0 && rw_cnt <= 6'd31) ram_wr_data <= ram_wr_data + 8'd1; else ram_wr_data <= 8'd0; end //读写地址信号 范围:0~31 always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) ram_addr <= 5'd0; else if(ram_addr == 5'd31) ram_addr <= 5'd0; else ram_addr <= ram_addr + 1'b1; end endmodule
ALTERA FPGA IP FIFO 8bitX8 FIFO读写测试Verilog源码工程文件, FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module ip_fifo( input sys_clk , // 时钟信号 input sys_rst_n // 复位信号 ); //wire define wire wrreq ; // 写请求信号 wire [7:0] data ; // 写入FIFO的数据 wire wrempty ; // 写侧空信号 wire wrfull ; // 写侧满信号 wire wrusedw ; // 写侧FIFO中的数据量 wire rdreq ; // 读请求信号 wire [7:0] q ; // 从FIFO输出的数据 wire rdempty ; // 读侧空信号 wire rdfull ; // 读侧满信号 wire rdusedw ; // 读侧FIFO中的数据量 //***************************************************** //** main code //***************************************************** //例化FIFO模块 fifo u_fifo( .wrclk ( sys_clk ), // 写时钟 .wrreq ( wrreq ), // 写请求 .data ( data ), // 写入FIFO的数据 .wrempty ( wrempty ), // 写空信号 .wrfull ( wrfull ), // 写满信号 .wrusedw ( wrusedw ), // 写侧数据量 .rdclk ( sys_clk ), // 读时钟 .rdreq ( rdreq ), // 读请求 .q ( q ), // 从FIFO输出的数据 .rdempty ( rdempty ), // 读空信号 .rdfull ( rdfull ), // 读满信号 .rdusedw ( rdusedw ) // 读侧数据量 ); //例化写FIFO模块 fifo_wr u_fifo_wr( .clk (sys_clk ), // 写时钟 .rst_n (sys_rst_n), // 复位信号 .wrreq (wrreq ), // 写请求 .data (data ), // 写入FIFO的数据 .wrempty (wrempty ), // 写空信号 .wrfull (wrfull ) // 写满信号 ); //例化读FIFO模块 fifo_rd u_fifo_rd( .clk (sys_clk ), // 读时钟 .rst_n (sys_rst_n), // 复位信号 .rdreq (rdreq ), // 读请求 .data (q ), // 从FIFO输出的数据 .rdempty (rdempty ), // 读空信号 .rdfull (rdfull ) // 读满信号 ); endmodule
图像矩阵[122 68 117 151 115 141 123 153 87] x方向算子[-1 0 +1 -2 0 +2 -1 0 +1] y方向算子[+1 +2 +1 0 0 0 -1 -2 -1] Gx_temp1 = 117 + 141*2 + 87 = 486 Gx_temp2 = 122 + 151*2 + 123 = 547 Gx_data = 547-486 = 61 Gy_temp1 = 122 + 68*2 + 117 = 375 Gy_temp2 = 123 + 153*2 + 87 = 516 Gy_data = 516-375 = 141 Gxy_square = 61 * 61 + 141*141 = 23602 Dim = sqrt(23602) = 153 153 > 30,输出1
2021-03-14 21:29:41 107.98MB 边缘检测 verilog源码 matlab仿真
1
EP1C6Q240 FPGA设计八口百兆单板扫描控制器PROTEL99SE设计硬件原理图+PCB+BOM+FPGA VERILOG源码文件,4层板设计,双面布局布线,已在项目中使用可以做为你的设计参考。