EP1C6Q240_FT245BM_IS61LV51216 FPGA应用开发板ALTIUM设计原理图PCB+FPGA VERILOG源码,4层板设计,大小为120x72mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 30 Name Description ---------------------------------------------------------------------------------------------------- 0006 4 HEADER HEADER 4 93C46 AT24C128 AT45DB041B-S U? Cap Capacitor Cap Pol1 Polarized Capacitor (Radial) DIODE Diode DIP_XTAL DSO751S ELECTRO1 EP1C6Q240 FT245BM HEADER 15X2 HEADER 20X2 IS61LV51216 Inductor Inductor LED LT1086MC MYEPCS4 MYJTAG R RESISTOR RES2 Res1 Resistor SST39SF010_020_040 SW-PB Switch USB_B ZENER2 配套的cyclone FPGA Verilog源码文件(非工程文件)如下: flash_to_sram_pro.v led.v pll2.v sram_read.v test_board.v test_io_cell.v
EP4CE10E144+CP2102 FPGA开发板AD设计硬件原理图+PCB+集成库文件,采用2层板设计,板子大小为110x110mm,双面布局布线,主要器件为 FPGA EP4CE10E144, SDRAM MT48LC16M16A2-6A. USB转串口芯片CP2102,VGA接口,按键等。Altium Designer 设计的工程文件,包括完整的原理图PCB文件,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。
EP3C25Q240C8N Cyclone III最小系统开发板AD设计硬件原理图+PCB+封装库文件,采用2层板设计,板子大小为85x82mm,双面布局布线,主要器件为FPGA EP3C25Q240C8N ,EPCS16SI16N, MAX3232EUE, LT1963等。AltiumDesigner 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。