visual C++ 数字图像处理 谢凤英版 教材的对应C++实现程序。包括程序源代码和使用事例图像,对学习该书籍有很大的帮助作用。
2021-08-27 17:08:29 9.43MB 谢凤英 visual C++ 数字图像处理
1
参考黑金的串口收发,将串口收发和fifo写在一个.v文件中,操作接口主要变为fifo,rx_fifo_empty!=1时,有收到数据,读出来即可,发送串口只需要往fifo中存入数据。接收时判断起始位为低和停止位为高,防止上电前串口上一直有数据发送进来而引起的误码。
2021-08-26 15:02:42 2KB FIFO Verilog uart
1
fpga与dsp间连接的关于fifo的程序,并附带modelsim的仿真程序
2021-08-26 14:24:36 1.59MB dsp fifo
1
FPGA读写SDRAM page fifo实验完整Verilog逻辑源码Quartus工程文件, Quartus软件版本11.0, FPGA型号为CYCLONE4E系列中的EP4CE6E22C8,可以做为你的学习设计参考。 module sdram_read_write( clk, reset_n, state_signal, rw_done_signal, ar_done_signal, sdram_bank_addr, write_data, read_data, io_ctl, sdram_command, sdram_address, sdram_dqm, sdram_data ); //参数定义 //端口定义 input clk; //时钟信号100MHz input reset_n; //复位信号,低电平有效 input [2:0] state_signal; //状态信号,用于控制对SDRAM进行读写和自刷新 input [21:0] sdram_bank_addr; //SDRAM最小单元地址,[21:20]块地址+[19:8]行地址Row+[7:0]列地址Column input [15:0] write_data; //写入SDRAM的数据 input io_ctl; output rw_done_signal; //读写完成信号 output ar_done_signal; //自动刷新完成信号 output [15:0] read_data; //从SDRAM读出的数据 output [4:0] sdram_command; //SDRAM指令,cke、cs_n、ras、cas_n、we_n,SDRAM指令信号 output [13:0] sdram_address; //SDRAM读写地址 output [1:0] sdram_dqm; //SDRAM数据掩码 inout [15:0] sdram_data; //sdram读写数据 //常量定义 parameter NOP = 5'b10111, //空操作 ACTIVE = 5'b10011, //行激活 READ = 5'b10101, //读操作 WRITE = 5'b10100, //写操作 PR = 5'b10010, //预充电 AR = 5'b10001, //自刷新 LMR = 5'b10000, //设置寄存器 BURST_STOP = 5'b10110; //突发停止指令 parameter ar_state = 3'b001, //自刷新状态 re
FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity spi_in is port( sck_in:in std_logic; mosi:in std_logic;--收 miso:out std_logic;--发 data_out:out std_logic_vector(7 downto 0) ); end spi_in; architecture spi_behave of spi_in is signal gain_data:std_logic_vector(7 downto 0); signal num:integer range 0 to 9; begin process(sck_in) begin if(sck_in'event and sck_in='1')then if(num=9)then num<=0; else num0 and num<9)then gain_data(9-num)<=mosi; else data_out<=gain_data; end if; end process; end spi_behave;
2021-08-25 14:05:55 1.73MB FIFOfull_adder SPI接口 分頻器 VHDL设计源码
基于DSP320f28035开发的SCI驱动源码,详细注释,可以选择是否使用FIFO模式,
2021-08-19 17:27:05 404KB F28035 SCI FIFO
1
自己写的一个异步fifo,深度宽度都可自定义,包含binary到gray码,gray码到binary转换,异步时域通信,自定义almost full,almost empty等知识,以verilog写的~
2021-08-17 15:11:54 4KB verilog 异步fifo 已经过testbench验证
1
VisualC++课程设计案例精编(附书代码),有很好的参考价值
1
讲述异步FIFO设计的景点例子,包含verilog源码,仿真,框图等,IC数字设计的基础之一
2021-08-16 20:45:18 128KB 异步FIFO设计
1
卡牌配对游戏:使用MFC 编程.参考源码.
2021-08-16 17:02:47 48KB MFC VisualC++ WindowsPlatform Game
1