FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件.zip

上传者: guoruibin123 | 上传时间: 2021-08-25 14:05:55 | 文件大小: 1.73MB | 文件类型: ZIP
FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity spi_in is port( sck_in:in std_logic; mosi:in std_logic;--收 miso:out std_logic;--发 data_out:out std_logic_vector(7 downto 0) ); end spi_in; architecture spi_behave of spi_in is signal gain_data:std_logic_vector(7 downto 0); signal num:integer range 0 to 9; begin process(sck_in) begin if(sck_in'event and sck_in='1')then if(num=9)then num<=0; else num0 and num<9)then gain_data(9-num)<=mosi; else data_out<=gain_data; end if; end process; end spi_behave;

文件下载

资源详情

[{"title":"( 667 个子文件 1.73MB ) FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件.zip","children":[{"title":"spi.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"spi.flow.rpt <span style='color:#111;'> 7.50KB </span>","children":null,"spread":false},{"title":"spi.map.rpt <span style='color:#111;'> 23.52KB </span>","children":null,"spread":false},{"title":"spi.vwf <span style='color:#111;'> 5.07KB </span>","children":null,"spread":false},{"title":"spi.tan.rpt <span style='color:#111;'> 37.12KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明