DDR3控制器的SystemVerilog实现 这是一个小组项目。 该控制器通过符合Micro数据手册规格的状态机结构通过Verilog实现,并连接到预定义的DDR3存储器。 通过专门的测试平台可以成功进行设计验证,并通过SystemVerilog接口将其连接到提供的AHB。 top.sv顶部模块 ddr3_controller.sv ddr3内存控制器 st_defs.svh ddr3_controller.sv的参数,控制器状态 intf.sv连接ddr3_controller.sv和ddr3.v的接口 ddr3.v给定的ddr3内存 1024Mb_ddr3_parameters.vh ddr3.v的给定参数 sg093.v ddr3.v的给定参数 defs.svh ddr3.v的给定参数
2022-08-24 16:32:28 48KB Verilog
1
第十三章 编程语言接口(PLI )EDA技术与Verilog HDL语言
2022-08-24 12:43:35 499KB
1
verilog语言标准2001,fpga开发参考必备哦
2022-08-23 18:57:55 2.95MB verilog 标准 2001
1
1、设计了一个异步模块,该模块可以自定义数据位宽与数据深度,且可以输出可读数据数与已写数据数,当然用户也可以自定义满阈值数。 2、设计了两级fifo缓存器,当然在此基础上你也可以进行扩展与沿伸。 3、注意:此设计的读时钟应该比写时钟要快,否则会丢失一部分数据。
2022-08-23 18:07:25 93KB verilog fpga
1
在工程中: keccak.v 为顶层文件,分别调用下面几个文件,f_permutation.v、padder1.v、rconst2in1.v、round2in1.v、padder1.v。 test_keccak.v为仿真代码。 在仿真代码test_keccak.v中,仿真控制输入, reg [63:0] in;为输入,wire [511:0] out;为输出端。 在仿真代码中通过对输入的参数进行控制,实现对算法输入控制的变化,通过仿真波形图来观察输出端。 ———————————————— 版权声明:本文为CSDN博主「QQ_778132974」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。 原文链接:https://blog.csdn.net/QQ_778132974/article/details/124217676
2022-08-23 17:01:46 2.28MB sha3 verilog
1
高速以太网verilog源代码及验证模型
2022-08-23 15:19:19 770KB 高速以太网 verilog 源代码 验证模型
1
1.领域:FPGA,HDMI视频传输接口 2.内容:在vivado2019.2平台中通过Verilog实现HDMI视频传输接口+操作视频 3.用处:用于HDMI视频传输接口编程学习 4.指向人群:本科,硕士,博士等教研使用 5.运行注意事项: 使用vivado2019.2或者更高版本测试,用软件打开FPGA工程,然后参考提供的操作录像视频跟着操作。 工程路径必须是英文,不能中文。
2022-08-23 13:57:28 2.07MB Verilog HDMI视频传输接口 HDMI vivado2019.2
vivado 第三方编辑器,好用,verilog HDL语法高亮,有教程,教程地址为https://blog.csdn.net/qq_60096788/article/details/125641439?spm=1001.2014.3001.5501
2022-08-23 09:07:48 274B fpga fpga/cpld 嵌入式
1
FPGA Verilog入门程序 verilog实验37个程序
2022-08-21 12:30:48 6.7MB FPGA Verilog
1
此代码为verilog代码实现的96*64阵列LED点亮代码,于上位机配合,上位机通过USB转串口将96*64阵列图形发给FPGA
2022-08-21 12:25:04 11.93MB verilog LED
1