四位无符号数乘法器的VHDL语言设计,四位乘法器输入信号为a_in , b_in , 均为四位无符号数,输出为c_out, 为八位无符号数,有c_out = a_in × b_in 。程序设计中利用a_in与b_in (n) (n= 0, 1, 2, 3) 分别相乘后左移 n位再累加的方法来实现乘法功能。包含程序代码(VHD)、仿真波形图以及简单的设计报告。希望能给你提供一点帮助。
2021-07-07 20:06:42 395KB 乘法器 VHDL语言设计
1
基于FPGA用VHDL语言设计的12小时制时钟,具有清零暂停功能
2021-06-22 14:35:57 1.01MB FPGA VGDL 12小时制时钟
1
本程序是采用vhdl语言进行编写的程序,描述了RAM的实现过程。
2021-06-01 16:46:47 165KB ram
1
VHDL程序设计课程设计FPGA很有价值设计例程文件合集: 8.10 FSK调制与解调VHDL程序及仿真.doc 8.11 PSK调制与解调VHDL程序及仿真.doc 8.12 MASK调制VHDL程序及仿真.doc 8.13 MFSK调制VHDL程序及仿真.doc 8.14 MPSK调制与解调VHDL程序与仿真.doc 8.15 基带码发生器程序设计与仿真.doc 8.16 频率计程序设计与仿真.doc 8.17 采用等精度测频原理的频率计程序与仿真.doc 8.18 电子琴程序设计与仿真 2004.8修改.doc 8.18 电子琴程序设计与仿真.doc 8.19 电梯控制器程序设计与仿真.doc 8.2 LED控制VHDL程序与仿真 2004.8修改.doc 8.2 LED控制VHDL程序与仿真.doc 8.20 电子时钟VHDL程序与仿真.doc 8.21 自动售货机VHDL程序与仿真.doc 8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc 8.22 出租车计价器VHDL程序与仿真.doc 8.23 波形发生程序.doc 8.24 步进电机定位控制系统VHDL程序与仿真.doc 8.3 LCD控制VHDL程序与仿真 2004.8修改.doc 8.3 LCD控制VHDL程序与仿真.doc 8.4 ADC0809 VHDL控制程序.doc 8.5 TLC5510 VHDL控制程序.doc 8.6 DAC0832 接口电路程序.doc 8.7 TLC7524接口电路程序.doc 8.8 URAT VHDL程序与仿真.doc 8.9 ASK调制与解调VHDL程序及仿真.doc
在Max+plus 平台上用vhdl语言编写程序,要求十字路口是由一条主通道和一条次干道汇合,在每一个方向设置了红,绿,黄3种信号。考虑到主,次干道车辆数量不同,主干道每次放行时间较长,次干道每次放行时间较短。当绿灯换成红灯时,黄灯需要亮一小段时间作为信号过度,以车辆有时间停靠到禁止线之外。
2020-01-03 11:43:06 321KB EDA技术 交通灯
1
1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。器件设定为EPM7128LC84-6。要求能够从0计数到999。从999归零时产生一个高电平的报警信号。进行波形仿真,验证功能正确。分析此电路的最高计数频率。 2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。 3.在文本编辑器中使用VHDL语言设计一个D触发器,具有反向输出端。命名为xxxdff.vhd,仿真验证。
2019-12-25 11:10:27 164KB 十进制加法计数器 D触发器
1
用vhdl语言采用时序电路(移位寄存器)的方式实现(7,4)循环码编码器
2019-12-21 22:19:56 4KB vhdl,入门,(7,4)循环码
1
基于FPGA用VHDL语言设计的四位共阴数码管显示驱动电路设计
2019-12-21 21:58:05 2.34MB FPGA VHDL 数码管
1
vhdl语言设计猜数字游戏,可产生四位随机数,可以提示所采数字与随机数相比是大了还是小了
2019-12-21 20:32:02 1.21MB 猜数字 vhdl
1
移位相加8位硬件乘法器的 VHDL代码实现
2019-12-21 19:45:00 230KB vhdl语言设计,maxplus2 开发环境
1