六十进制计数器设计报告 目录 六十进制计数器设计报告 1 一、 题目剖析 2 二、 设计思路 2 三、 设计过程 2 1 、 真值表 2 2 、 源代码分析 2 3 、 仿真分析 5 功能仿真 5 4 、 注意事项 6 四、 总结 6
2021-08-21 09:38:04 349KB 硬件描述语言 VHDL Quartus 计数器
带允许端的十二进制计数器设计报告 目录 带允许端的十二进制计数器设计报告 1 一、 题目剖析 2 二、 设计思路 2 三、 设计过程 2 1 、 真值表 2 2 、 源代码分析 4 四、 仿真分析 6 1 、 波形分析 6 2 、 注意事项 6 五、 总结 7
2021-08-21 09:38:03 418KB 计数器 硬件描述语言 VHDL Quartus
VHDL Quartus 四分频器源代码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ---------------------------------------------- ENTITY clk_div IS PORT( clk: IN STD_LOGIC;--时钟输入 clk_div2: OUT STD_LOGIC; clk_div4: OUT STD_LOGIC; clk_div8: OUT STD_LOGIC; clk_div16: OUT STD_LOGIC ); END ENTITY clk_div; --------------------------------------------------- ARCHITECTURE rtl OF clk_div IS
2021-08-21 09:38:03 162KB Quartus VHDL 硬件描述语言 四分频器
VHDL Quartus 四分频器源代码-二进制位实现 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ---------------------------------------------- ENTITY clk_div IS PORT( clk: IN STD_LOGIC;--时钟输入 clk_divout: OUT STD_LOGIC ); END ENTITY clk_div; --------------------------------------------------- ARCHITECTURE rtl OF clk_div IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0); --定义一个四位的信号 SIGNAL countout:STD_LOGIC_VECTOR(11 DOWNTO 0); --定义一个四位的
2021-08-21 09:38:02 166KB 四分频器 Quartus VHDL 硬件描述语言
VHDL Quartus 同步D触发器源代码 --2015/11/25 --同步D触发器 LIBRARY IEEE; --调用库 USE IEEE.STD_LOGIC_1164.ALL; --库文件 USE IEEE.STD_LOGIC_UNSIGNED.ALL; --库文件 ------------------------------------------------------------ ENTITY Dtrigger IS --定义实体名,其名称必须与VHDL文本文件名称相同 PORT( CLK: IN STD_LOGIC; --输入端口,时钟输入 DIN: IN STD_LOGIC; --输入端口,数据输入 RST: IN STD_LOGIC; DOUT: OUT STD_LOGIC ); --输出端口,溢出标志 END ENTITY Dtrigger; --结束端口定义
2021-08-21 09:38:02 161KB Quartus VHDL 硬件描述语言 D触发器
1
VHDL Quartus 五分频器源代码 --半周期是原来半周期信号的N倍 --一个上升沿是2分频 --两个上升沿就是4分频 --三个上升沿就是6分频 LIBRARY IEEE; --调用库 USE IEEE.STD_LOGIC_1164.ALL;--调用包集合 USE IEEE.STD_LOGIC_UNSIGNED.ALL;--调用包集合,可以克服'1',1,"0001"的编译问题 USE IEEE.STD_LOGIC_ARITH.ALL;--调用包集合 ---------------------------------------------------------------------------------------------------------------------- ENTITY CLK_DIV5 IS --定义实体 PORT ( CLKIN : IN STD_LOGIC;--分频前的时钟输入 RST: IN STD_LOGIC;--复位端输入,建议复位时,复位为高电平,因为实验箱是低电平驱动 TMPOUT: OUT STD_LOGIC;
2021-08-21 09:38:01 180KB Quartus VHDL 五分频器 硬件描述语言
VHDL Quartus 循环LED彩灯控制器源代码 --设计一个循环彩灯控制器 --该控制器控制红,绿,黄三个发光二极管循环发亮 --要求红发光管亮2秒,绿亮3秒,黄亮1秒。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ASM_LED IS PORT( CLR: IN STD_LOGIC; --清零控制输入 CLK: IN STD_LOGIC; --时钟输入 LED1:OUT STD_LOGIC; --LED1输出 LED2:OUT STD_LOGIC; --LED2输出 LED3:OUT STD_LOGIC); --LED3输出 END ASM_LED; --实体名称可以省略 --------------------------------------------- ARCHITECTURE A OF ASM_LED IS
2021-08-21 09:38:01 173KB 硬件描述语言 VHDL LED彩灯控制 Quartus
VHDL Quartus 循环 LED 流水灯 源代码 前言 流水灯是每个学电子的入门“游戏” ,示意图如图 1,其原理极其简单,但是可 玩性却极强,可以就 8个 LED写出不同花样的程序 。 源代码 --wangding --north university of china --2015 11 20 --LED_shumaguanDTSMXS LIBRARY IEEE; --库文件 USE IEEE.STD_LOGIC_1164.ALL; ENTITY DTSMXS IS --文件名必须 与实体名相同 PORT(CLK:IN STD_LOGIC; --定义时钟输 入端口 B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:IN
2021-08-21 09:38:00 273KB Quartus VHDL 硬件描述语言 流水灯
VHDL Quartus 异步D触发器源代码 --2015/11/25 --异步D触发器 LIBRARY IEEE; --调用库 USE IEEE.STD_LOGIC_1164.ALL; --库文件 USE IEEE.STD_LOGIC_UNSIGNED.ALL; --库文件 ------------------------------------------------------------ ENTITY YBD IS --定义实体名,其名称必须与VHDL文本文件名称相同 PORT( CLK: IN STD_LOGIC; --输入端口,时钟输入 DIN: IN STD_LOGIC; --输入端口,数据输入 RST: IN STD_LOGIC; DOUT: OUT STD_LOGIC ); --输出端口,溢出标志
2021-08-21 09:38:00 160KB 硬件描述语言 VHDL Quartus D触发器
可编程硬件描述语言VHDL Quartus三八译码器源代码.pdf
2021-08-21 09:37:59 163KB VHDL Quartus 硬件描述语言 三八译码器
1