可编程硬件描述语言VHDL Quartus 循环LED彩灯控制器源代码.pdf

上传者: 27595745 | 上传时间: 2021-08-21 09:38:01 | 文件大小: 173KB | 文件类型: PDF
VHDL Quartus 循环LED彩灯控制器源代码 --设计一个循环彩灯控制器 --该控制器控制红,绿,黄三个发光二极管循环发亮 --要求红发光管亮2秒,绿亮3秒,黄亮1秒。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ASM_LED IS PORT( CLR: IN STD_LOGIC; --清零控制输入 CLK: IN STD_LOGIC; --时钟输入 LED1:OUT STD_LOGIC; --LED1输出 LED2:OUT STD_LOGIC; --LED2输出 LED3:OUT STD_LOGIC); --LED3输出 END ASM_LED; --实体名称可以省略 --------------------------------------------- ARCHITECTURE A OF ASM_LED IS

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明