可编程硬件描述语言VHDL Quartus 循环LED流水灯源代码.pdf

上传者: 27595745 | 上传时间: 2021-08-21 09:38:00 | 文件大小: 273KB | 文件类型: PDF
VHDL Quartus 循环 LED 流水灯 源代码 前言 流水灯是每个学电子的入门“游戏” ,示意图如图 1,其原理极其简单,但是可 玩性却极强,可以就 8个 LED写出不同花样的程序 。 源代码 --wangding --north university of china --2015 11 20 --LED_shumaguanDTSMXS LIBRARY IEEE; --库文件 USE IEEE.STD_LOGIC_1164.ALL; ENTITY DTSMXS IS --文件名必须 与实体名相同 PORT(CLK:IN STD_LOGIC; --定义时钟输 入端口 B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:IN

文件下载

评论信息

  • m0_59550431 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-11-28

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明