10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 downto 0) ); end counter_10; architecture Behavior of counter_10 is signal temp: std_logic_vector(3 downto 0); begin num_out <= inner_reg; process(clock,reset)
2022-05-19 15:00:56 598B 计数器 VHDL
1
ARM处理器核 VHDL代码 比较使用的
2022-05-18 12:33:17 70KB ARM 处理器核 VHDL代码
1
以太网MAC的VHDL代码 提供给设计嵌入式系统外接以太网接口时使用
2022-05-06 15:28:14 31KB eth VHDL
1
SPI协议代码的VHDL实现,包括spi_master、spi_slave、spi_loopback三个VHDL文件,用户可以直接使用。
2022-03-16 22:08:00 621KB SPI协议 主从
1
USB接口控制器参考设计VHDL代码(xlinx) 接口 , 控制器 , 设计
2022-03-05 16:58:20 59KB USB接口 控制器 参考设计 VHDL
1
ISCAS89电路的verilog和vhdl代码
2021-12-21 18:54:40 2.49MB ISCAS89 verilog vhdl 代码
1
本程序能将数码率为115.2K的串行输入序列转换为8个并行输出,在输出有效的时候可供后续电路使用该并行信号。
2021-11-27 23:00:59 6KB 串并转换 VHDL
1
用来控制智能车的前轮舵机。代码实验的功能是,自动左右转弯。
2021-11-27 10:37:30 816KB PWM vhdl
1
已经仿真过了,没有问题,大家可以放心使用,且根据三分频的思想,我们可以设计任意奇数分频的电路。
2021-11-25 22:30:37 797B VHDL三分频
1
按照说明安装即可 轻松破解 方便易用 vista测试可用 没有win7所以没测试过win7下安装可否 有安装过的 请给大家分享下经验
2021-11-25 13:11:13 19.29MB vhdl verilog 互转 转换
1