旋转因子乘法器VHDL 代码。。在百度上很难找到的。。
2023-02-14 22:20:59 25KB 旋转因子乘法器VHDL 代码
1
使用FPGA控制TMP117温度传感器,包含寄存器初始化及温度读取两个功能。 start_sig控制功能,01为初始化寄存器,10为读取温度数据,其余值不进行任何操作。
2022-10-31 13:20:31 9KB fpga开发 VHDL TMP117
1
DDR SDRAM控制器参考设计VHDL代码
2022-08-06 21:46:23 990KB DDR
1
opencore上的6502微控制器CPU的VHDL代码,内附详细说明。
2022-06-29 15:07:30 651KB 6502,CPU
1
存储库分为以下几个部分: software/ 用于操作 AXIOM Beta 硬件的 Linux 用户空间工具和脚本。 peripherals/soc_main/ 包含板上各种可编程逻辑器件的 VHDL 源代码。(目前并非所有内容都包含在内) boot/ 启动过程中需要的文件。 makefiles/ 包含创建 Beta 固件映像所需的所有其他内容。
2022-06-14 19:05:45 2.32MB vhdl
用VHDL写的计时器,数字电路与逻辑设计实验 原创
2022-06-09 04:10:21 927B VHDL 计时器
1
本文主要为vhdl语言编写 9秒倒计时器程序代码,下面一起来学习一下
2022-06-04 23:34:28 52KB VHDL 倒计时器 VHDL代码 文章
1
4位数码扫描显示电路VHDL代码,容易的,自己看
2022-05-19 16:20:28 16KB 数码
1
10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 downto 0) ); end counter_10; architecture Behavior of counter_10 is signal temp: std_logic_vector(3 downto 0); begin num_out <= inner_reg; process(clock,reset)
2022-05-19 15:00:56 598B 计数器 VHDL
1
ARM处理器核 VHDL代码 比较使用的
2022-05-18 12:33:17 70KB ARM 处理器核 VHDL代码
1