上传者: whzxcvbnm
|
上传时间: 2022-05-19 15:00:56
|
文件大小: 598B
|
文件类型: TXT
10进制计数器VHDL代码
Library IEEE;
Use IEEE.STD_LOGIC_1164.ALL;
Use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity counter_10 is
Port( reset : in std_logic;
clock : in std_logic;
num_out : out std_logic_vector(3 downto 0)
);
end counter_10;
architecture Behavior of counter_10 is
signal temp: std_logic_vector(3 downto 0);
begin
num_out <= inner_reg;
process(clock,reset)