里面含有testbench preliminary和testbench_vantage两个pdf文件,都是编写testbench的资料
2024-05-11 17:02:39 96KB testbench
1
异步FIFO设计原理与设计方法以及重要问题汇总(包含verilog代码-Testbench-仿真结果)
2023-09-07 08:52:39 27KB fpga verilog
1
自动产生testbench文件,能够读取目标文件,并产生相应的testbench文件,并生成fsdb波形。需要使用者具有perl环境,使用方法为,如:perl gen_tb uart,注意这里不是uart.v 必须是uart,否则产生出来的是空文件。
1
本设计实现了74139译码器testbench的vhdl语言实现,可有效验证其功能。
2023-03-17 14:22:44 4KB 74139译码器的testbench的vhdl语言
1
自动测试台 一个简单的用于编辑verilog的插件。 我希望你喜欢它。 特征 生成组件实例 支持verilog-2001语法 需要python3 安装 Plug ' kdurant/verilog-testbench ' 用法 运行:Testbench生成testbench模板 运行:VerilogInstance生成组件实例 运行:VerilogInterface生成接口(SystemVerilog)模板 运行:VerilogClass生成类(SystemVerilog)模板您可以使用p粘贴它。 推荐模块(端口)声明 module spi_slave_core ( input wire clk, input wire rst, input wire spi_
2023-03-15 16:05:52 4KB Vimscript
1
针对FPGA软件测试过程中仿真测试和实物测试的不足,提出了一种基于仿真测试用例的实物自动化测试环境,将用于仿真测试的Testbench进行解析处理,形成能够用于FPGA实物测试的传输信号,通过执行器将此信号转换为作用于被测FPGA芯片的实际信号,并采集被测FPGA芯片的响应,实现对FPGA的实物自动化测试。采用实物自动化测试环境验证平台对设计架构进行了验证,取得了良好的效果。
2023-03-02 09:43:28 267KB FPGA测试
1
axi_test_top为代码顶层文件,axi_test_top_tb为testbench仿真文件。除了这两个文件外,带slave名字的为AXI 协议从机代码文件,不带的为主机代码。除顶层文件与仿真文件外,AXI协议的实现部分代码为Vivado上自动生成的。
1
基于Vivado2018的16QAM调制完整工程文件,采用全verilog语言,可直接testbench仿真
2023-01-01 15:09:22 58.1MB 16QAM 调制 vivado 仿真
1
4位全加器和4位计数器的testbench仿真程序+实例程序包自取
2022-12-21 22:52:24 3KB verilog
1
基于Vivado2018的数字基带信号HDB3编译码完整工程文件,带RAM IP核模拟信道,FIR核脉冲成型,可直接testbench仿真
2022-11-24 22:40:12 29.08MB vivado2018 数字基带 编码 解码
1