此代码是同步FIFO的Verilog源代码,经上板测试是没有问题的,请大家放心使用
2019-12-21 20:00:09 1KB 同步FIFO
1
这个是vivado写的Verilog代码,主要是实现FIFO的功能,还有一些是自己的测试testbench
2019-12-21 19:48:20 1KB FPGA vivado
1
DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH
2019-12-21 19:33:08 29KB DES 加密算法 VHDL VERILOG
1
BFM testbench PWM APB
2019-12-21 19:29:56 283KB BFM testbench PWM APB
1
修改了原code中不合理的地方:1、不符合I2C标准的端口处理方式 2、增加io_pad接口模块 3、testbench中增加I2C协议上拉电路 4、修改了原设计中sda信号输出方式不完善的地方 5、修改了远设计中SDA,SCL初始状态
2019-12-21 18:54:18 2KB verilog i2c master testbench
1
带有IrDA 1.0标准的异步串口通信verilog代码,基于FPGA嵌入式开发三位一体书中一个IrDA_uart程序,进行了顶层例化。
2019-12-21 18:51:08 10.75MB verilog uart
1
Test Bench 经典教程.pdf test bench.ppt Writing Testbenches using SystemVerilog.pdf Xilinx—Writing Efficient Testbenches.pdf 一些好的关于testbench资料// A Verilog HDL Test Bench Primer.pdf An Overview on Writing a VHDL Testbench.pdf testbench_book.pdf testbench_vantage.pdf TestBench的书写.ppt verilog testbench preliminary.pdf Writing Efficient Testbenches.pdf Writing Test Benches.pdf writing testbench.pdf 书写testbench过程.doc 如何编写testbench的总结.pdf 怎样写testbench.pdf 中文文章:怎样写testbench(xilinx的).pdf 在QUARTUS下根据波形文件生成testbench.doc 学写 Testbench --- 结构篇.doc 怎样写test bench..pdf 怎样用VHDL写TESTBENCH.pdf 编写高效的测试设计(testbenches).doc 英文文章:testbench入门文档(xilinx的).pdf 计数器程序与TESTBENCH.doc
2013-07-27 00:00:00 17.8MB Testbench
1