通过对LCD1602/LCD12864显示模块控制时序和指令集的对比分析,利用Verilog HDL描述语言完成了多功能LCD显示控制模块的IP核设计.所设计的LCD显示控制器具有很好的可移植性,只需通过端口的使能参数配置便可以驱动LCD1602/LCD12864模块实现字符或图形的实时显示,并且该多功能LCD控制器的可行性也在Cyclone II系列的EP2C5T144C8 FPGA芯片上得到了很好的验证.
2024-03-12 17:21:10 1.26MB FPGA 有限状态机
1
behaviac是游戏AI的开发框架组件,也是游戏原型的快速设计工具 支持行为树BT,状态机FSM,HTN等多种范式 方便的编辑,实时和离线调试 支持全平台,适用于客户端和服务器,助力游戏快速顺序开发 是文档,教程,API,FAQ,源码,下载等一切的入口 您可以加入我们的QQ群433547396获得即时的帮助或信息反馈。 BehaviacSetup * .exe是安装包,内部包含重置的编辑器及示例。如需要自行构建,需要去或下载或克隆源码,然后可以访问获取帮助 行为是游戏AI开发的框架,也可以用作快速游戏原型设计工具 行为支持行为树,有限状态机和分层任务网络 行为可以在设计器中进行设计和调试,
2023-03-11 20:30:25 7.82MB game fsm unity htn
1
Gawati工作流程 XML和JSON支持工作流配置,可以使用XML创建工作流配置,然后将其转换为JSON以供应用程序使用。 您可以根据以下说明生成Worfklow的有向图(要求Workflow配置为XML)。 Gawati工作流是一个有状态的工作流,旨在支持通过状态转换在不同状态下移动文档。 工作流允许定义谁可以在每个状态下执行操作,以及允许谁在状态之间进行转换。 过渡连接状态,并允许仅使用少数几个状态来构建复杂的工作流。 典型的过程是使用XML提供的xml2jon脚本,以XML编辑工作流并从生产JSON中生成生产JSON。 测试 npm install然后是; npm test 单元测试位于test/testWorkflow.js ,它提供了有关如何使用API​​的概述。 产生文件 注意:这要求工作流配置必须为XML格式 npm install在package文件夹中 下载
2023-03-08 19:10:32 40KB JavaScript
1
状态控制器库是一个独立于平台的通用C ++框架,该框架允许实现有限状态机及其多动作计划概括。 该库的结构和实现侧重于将有限状态机应用于实时控制回路,但实际上可以合理地适用于几乎所有其他应用,甚至与控制系统完全无关。 重点放在具有非常低的开销上,以便无论在何处使用此库都不会损害整体系统性能,同时仍保持易用性。 代码大小也已保持最小。 除了实现标准的有限状态机和多动作计划状态机之外,该库还可以用于实现分层状态控制器,或者实现这三种状态的任意混合。 请参阅大量文档以获取更多信息。 我欢迎所有反馈,建议和错误报告! 电子邮件:pallgeuer [at] ais.uni-bonn.de
2022-12-10 16:23:40 435KB 开源软件
1
基于硬件电路设计软件化的思想,根据路口交通灯控制功能要求,以可编程逻辑器件(FPGA)为硬件基础,以有限状态机为设计基础,通过对系统状态及其转移关系的定义,运用多进程方式描述硬件模块的逻辑关系,用VHDL语言编程实现了交通灯控制系统,经仿真,并在实验箱上进行功能测试,正确实现了预期功能。仅用一片可编程逻辑器件,即完成需要的控制功能,设计思路清晰,实现过程灵活。
2022-12-06 14:14:38 1.03MB 有限状态机 交通灯 控制系统
1
Unity有限状态机例子
2022-09-28 19:05:20 47KB FSM有限状态机
1
文章结合UART的设计,分析阐述了硬件设计中的有限状态自动机理论;并在分析UART功能特点的基础上,给出了利用有限状态自动机理论进行UART设计的实例。与其他设计方法相比较,利用有限状态自动机理论设计控制逻辑具有直观简单、设计流程短等优点,在EDA技术中必将发挥重要的作用。
2022-09-26 10:16:28 244KB UART 有限状态机 HDL
1
Python有限状态机——transitions https://xercis.blog.csdn.net/article/details/124127799
2022-09-24 22:54:14 47KB python 综合资源 开发语言
1
有限状态机设计技术,技术资料,简洁的介绍,加深对状态机编程的理解
2022-09-12 18:41:58 806KB 有限状态机设计技术
1
一个很好的状态机学习文档,貌似是台湾人写的,不错。。。。使用verilog描述的
2022-08-15 15:26:51 177KB FSM 有限状态机 verilog
1