基于FPGA的64点FFT处理器设计 基于FPGA的64点FFT处理器设计
2019-12-21 22:18:12 3.23MB FPGA 64点FFT
1
如何调用FFT,及用modelsim进行仿真
2019-12-21 22:12:05 20.12MB 2048点FFT, altera IP
1
1024点FFT快速傅立叶变换(vhdl)
2019-12-21 22:10:10 416KB VHDL 傅里叶变换
1
2048点FFT 在FPGA下实现的Verilog程序
2019-12-21 21:57:36 unknown FFT FPGA
1
适用于matlab的.m文件,完成1024点FFT,内含5个m文件。
2019-12-21 21:43:02 10KB Matlab FFT
1
用verilog写 的fft64点,128点,256点的RTL级代码及TESTBENCH
2019-12-21 21:33:39 547KB fft
1
自己写,用于学习交流,采样用ad在时域以一定频率等间隔采样,完成一组数据采集存储后,MCU进行FFT输出频谱数组
2019-12-21 21:07:19 6.38MB STM32 FFT 频谱采集
1
挺好的1024点FFT的verilog源码,输入数据的前三个是没有用的,被reset掉了,所以等6个周期读入周期就是对的
2019-12-21 20:29:51 18KB RTL verilog 1024FFT
1
数字信号VLSI设计 verilog语言 64点快速傅里叶(FFT)变换
2019-12-21 19:51:50 1.19MB FFT
1
采用STM32实现4096点FFT,运算速度快,4096点数可根据自己需要调整。运算结果通UART上传。下载到板子上经过验证,程序无误。
2019-12-21 19:38:18 4.49MB stm32 fft 4096
1