1024点FFT verilog

上传者: qiulu12345 | 上传时间: 2019-12-21 20:29:51 | 文件大小: 18KB | 文件类型: rar
挺好的1024点FFT的verilog源码,输入数据的前三个是没有用的,被reset掉了,所以等6个周期读入周期就是对的

文件下载

资源详情

[{"title":"( 27 个子文件 18KB ) 1024点FFT verilog","children":[{"title":"fft_1024_hdl","children":[{"title":"PQ_RAM.v <span style='color:#111;'> 1.52KB </span>","children":null,"spread":false},{"title":"auto_reset.v <span style='color:#111;'> 548B </span>","children":null,"spread":false},{"title":"FFT_1024_top.v <span style='color:#111;'> 5.06KB </span>","children":null,"spread":false},{"title":"auto_reset_tb.v <span style='color:#111;'> 368B </span>","children":null,"spread":false},{"title":"ROM2_omega.v <span style='color:#111;'> 642B </span>","children":null,"spread":false},{"title":"pipe_line_b4_top_tb.v <span style='color:#111;'> 966B </span>","children":null,"spread":false},{"title":"ROM3_omega.v <span style='color:#111;'> 633B </span>","children":null,"spread":false},{"title":"w_im_bin_flow2.txt <span style='color:#111;'> 321B </span>","children":null,"spread":false},{"title":"transcript <span style='color:#111;'> 133B </span>","children":null,"spread":false},{"title":"pipe_line_b4_top.v <span style='color:#111;'> 5.64KB </span>","children":null,"spread":false},{"title":"b4_unit_tb.v <span style='color:#111;'> 3.13KB </span>","children":null,"spread":false},{"title":"w_im_bin_flow4.txt <span style='color:#111;'> 5.00KB </span>","children":null,"spread":false},{"title":"w_im_bin_flow3.txt <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"FFT_1024_tb.v <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"addr_accumulator.v <span style='color:#111;'> 1.53KB </span>","children":null,"spread":false},{"title":"w_im_bin_flow5.txt <span style='color:#111;'> 20.00KB </span>","children":null,"spread":false},{"title":"PQ_RAM_tb.v <span style='color:#111;'> 980B </span>","children":null,"spread":false},{"title":"s4p1.v <span style='color:#111;'> 1.54KB </span>","children":null,"spread":false},{"title":"b4_unit_david.v <span style='color:#111;'> 3.76KB </span>","children":null,"spread":false},{"title":"ROM4_omega.v <span style='color:#111;'> 632B </span>","children":null,"spread":false},{"title":"center_ctrl.v <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"RAM.v <span style='color:#111;'> 663B </span>","children":null,"spread":false},{"title":"rom_addr_generate.v <span style='color:#111;'> 1.03KB </span>","children":null,"spread":false},{"title":"s4p1_tb.v <span style='color:#111;'> 962B </span>","children":null,"spread":false},{"title":"ROM5_omega.v <span style='color:#111;'> 634B </span>","children":null,"spread":false},{"title":"center_ctrl_tb.v <span style='color:#111;'> 1008B </span>","children":null,"spread":false},{"title":"omega_generate_unit.v <span style='color:#111;'> 1.30KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • qq_26080729 :
    注释都没有。。。。也不是个工程文件。。。
    2019-11-07
  • qq_26080729 :
    注释都没有。。。。也不是个工程文件。。。
    2019-11-07
  • qiuxicj :
    很受教,对我这种初学者帮助很大
    2019-04-01
  • qiuxicj :
    很受教,对我这种初学者帮助很大
    2019-04-01
  • yimiyangguang1992 :
    参考价值很大!!!
    2015-01-19
  • shashou1983 :
    参考价值很大!!!
    2015-01-19
  • iyou_x :
    编译倒是可以通过,可惜没有测试文件
    2014-01-16
  • iyou_x :
    编译倒是可以通过,可惜没有测试文件
    2014-01-16
  • zhouxuanyuye :
    感觉对我没有用,不是编译不成功就是没有解析
    2013-07-23
  • 纸上谈芯 :
    感觉对我没有用,不是编译不成功就是没有解析
    2013-07-23

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明