VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写,意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕业设计的内容是在简要介绍了VHDL语言的一些基本语法和概念后,进一步应用VHDL,在MAX+plusII 的环境下设计一个电子钟,最后通过仿真出时序图实现预定功能。电子钟的时间显示用到了七段数码管(或称七段显示器)的电路设计,内部的时间控制输出则用到了各种设计,包括:时钟分频模块、计时模块、按键模块和显示模块四个部分。
2022-05-18 13:01:35 166KB EDA 技术;设计;VHDL 语言
1
ARM处理器核 VHDL代码 比较使用的
2022-05-18 12:33:17 70KB ARM 处理器核 VHDL代码
1
设计一个可以计时的数字时钟,其显示时间范围是00:00:00~23:59:59,且该时钟具有暂停计时、清零等功能。
2022-05-18 10:22:02 56KB 数字时钟设计 VHDL时钟设计 EDA实验
1
这是一个EDA平台下,以VHDL语言设计的编码器译码器的实现,内附截图 是一个完整的课程设计报告,实现仿真效果。。
2022-05-17 23:29:09 130KB EDA,VHDL,编码器译码器
1
基于VHDL语言的卷积码编解码器的设计,学习VHDL的同学做实习,实验可能会用的着哦。。。
2022-05-17 23:26:20 303KB VHDL 卷积 编解码
1
介绍并用VHDL语言实现了卷积编码和维特比译码。根据编码器特征设计了一种具有针对性的简洁的维特比译码器结构,并通过ModelSim平台验证了该设计的正确性。
2022-05-17 23:05:50 244KB SoPC
1
rs码译码的vhdl程序,适合需要的同学们。
2022-05-17 22:44:31 545KB rs vhdl 程序
1
VHDL语言设计的一电子钟表,在7段数码管走时 SW控制运行还是停止;KEY3调整小时,KEY2调整分钟,KEY1调整秒
2022-05-17 15:45:35 513KB EDA电子时钟 VHDL 7段数码管
1
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1
8位数码扫描显示电路的VHDL描述,8位数码扫描显示电路的VHDL描述
2022-05-15 14:54:41 92KB VHDL
1