verilog hdl vhdl实用例子100个 quartus 2 仿真 源程序
1
用Verilog实现的SVPWM算法!!
2019-12-21 22:18:00 3.18MB SVPWM
1
本人设计的一个基于FPGA用verilogHDL设计的CRC32模块,供FPGA学习者参考
2019-12-21 22:13:56 593B FPGA verilogHDL CRC32
1
基于FPGA用verilogHDL设计的DES加密模块,本人设计,供FPGA学习者参考学习
2019-12-21 22:13:56 4KB FPGA verilogHDL DES 加密
1
流水线方式的FFT实现,边村边读,速度最快,仿真通过!!!,可以作为多点数FFT程序开发的参考~~
2019-12-21 22:08:35 657KB FPGA、Verilog Hdl
1
Verilog数字系统编码解码调制等等,涉及到以太网的物理层的关键技术,很好的一本书
2019-12-21 22:08:33 27.8MB 陈曦 邱志成 Verilog 通信系统
1
用Verilog语言配置出CCD芯片所需要的时序信号,以便于CCD芯片工作输出采集的模拟信号。
2019-12-21 22:01:20 5KB FPGA CCD Verilog
1
ISE14.7破解时需要导进去的license.lic文件。win10环境下安装完成后可能出现以下问题: 1.没有自动弹出导入license.lic文件的窗口。 解决方法: 在安装目录的以下路径找到xlcm文件双击打开即可(本人安装在G盘所以 是这样的)G:\xilinxISE14.7\14.7\ISE_DS\common\bin\nt\xlcm (bin文件夹里面有nt或者nt64两个文件夹随便一个都可以的!)
1
SHA-256的一种verilogHDL实现,包括testbench,quartusII可综合。SHA是一种数据加密算法,该算法经过加密专家多年来的发展和改进已日益完善,现在已成为公认的最安全的散列算法之一,并被广泛使用。该算法的思想是接收一段明文,然后以一种不可逆的方式将它转换成一段(通常更小)密文,也可以简单的理解为取一串输入码(称为预映射或信息),并把它们转化为长度较短、位数固定的输出序列即散列值(也称为信息摘要或信息认证代码)的过程。
2019-12-21 21:56:45 5KB SHA-256 verilogHDL
1
SM3的一种verilogHDL实现,包括testbench测试文件。SM3是国家密码管理局编制的商用算法,用于密码应用中的数字签名和验证、消息认证码的生成与验证以及随机数的生成,可满足多种密码应用的安全需求。
2019-12-21 21:56:45 6KB SM3 verilogHDL
1