数字电路与EDA设计(第三版).zip
2022-06-27 10:04:36 18.94MB 教学资料
广工EDA现代篇实验报告所有内容加综合实验1-7
2022-06-26 21:04:15 6.21MB 广东工业大学 EDA 数字逻辑
CPLD按英语说是复杂可编程逻辑器件,对于一个硬件工程师来说,能应用cpld技术是一个十分强大的能力。下面就来学习一下
2022-06-23 14:18:00 100KB CPLD 初学者 EDA 文章
1
1小时玩转数字电路.rar AHB-SRAMC和FIFO的设计与验证.rar clock skew.rar IC攻城狮求职宝典.rar linux basic.rar Linux EDA虚拟机 - 个人学习IC设计.rar Perl语言在芯片设计中的应用.rar SoC芯片设计技能专题.rar SystemVerilog Assertion断言理论与实践.rar SystemVerilog_Assertions_应用指南-源代码.rar uvm-1.2.rar VCS_labs.rar Verdi 基础教程.rar Verilog RTL 编码实践.rar [Cadence.IC设计.全资料教
2022-06-22 18:31:59 122.64MB EDA
1
EDA 杭州电子科技大学,期末整理版,有助于期末复习,切入考点
2022-06-22 10:46:41 6.54MB 期末考试
1
杭州电子科技大学 EDA考试试卷 杭州 电子科技 大学 EDA 考试试卷 1 杭州 电子科技 大学 EDA 考试试卷 1
2022-06-22 10:44:25 807KB 杭州 电子科技 大学 EDA
1
十二进制计数器 仿真时序图 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count12 is port(clk,clr,en:in std_logic; q0,q1,q2,q3:out std_logic); end count12; architecture rtl of count12 is signal tem:std_logic_vector(3 downto 0); begin q0<=tem(0); q1<=tem(1); q2<=tem(2); q3<=tem(3); process(clk) begin if(clr='1')then tem<="0000"; elsif(clk'event and clk='1')then if(en='1')then if(tem="1011")then tem<="0000"; else tem<=tem+1; end if; end if; end if; end process; end rtl; 时序图
2022-06-20 14:04:11 116KB 文档资料
采用verilog文本输入法和原理图法,实现24进制。可下载到硬件上实现
2022-06-20 08:32:56 802KB EDA 24进制
1
震惊!这都能拿奖?兑换学分6分,大学少上3门课!赛后20分钟,新鲜出炉!
2022-06-18 19:03:26 1.7MB 蓝桥杯 EDA设计与开发 真题
1
该程序是六位抢答器的EDA的Verilog程序,设有提前抢答报警
2022-06-16 14:38:07 1.32MB EDA Verilog
1