EDA十二进制程序设计.doc

上传者: 43934844 | 上传时间: 2022-06-20 14:04:11 | 文件大小: 116KB | 文件类型: DOC
十二进制计数器 仿真时序图 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count12 is port(clk,clr,en:in std_logic; q0,q1,q2,q3:out std_logic); end count12; architecture rtl of count12 is signal tem:std_logic_vector(3 downto 0); begin q0<=tem(0); q1<=tem(1); q2<=tem(2); q3<=tem(3); process(clk) begin if(clr='1')then tem<="0000"; elsif(clk'event and clk='1')then if(en='1')then if(tem="1011")then tem<="0000"; else tem<=tem+1; end if; end if; end if; end process; end rtl; 时序图

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明