系统描述了同步FIFO硬件实现过程,采用Verilog硬件描述语言实现
2023-03-20 16:34:09 1.89MB 同步FIFO
1
fifo在ic设计的时候非常常用,尤其是在一些大型的设计中
2022-12-05 10:56:41 67KB fifo
1
sync_fifo.zip,博客对应同步FIFO接口源码(RTL和TB),附带tcl文件,用VCS直接加载即可得到和博客里面一样排序的波形图
2022-09-03 16:37:05 6KB 同步FIFO 源码
1
FIFO的Verilog源代码,可以任意调整深度的,不错,已经验证过 异步fifo下次发 源代码
2022-06-14 00:07:21 2KB FIFO Verilog 源代码 调整深度
1
基于FPGA的非对称同步FIFO设计
2022-01-07 17:46:32 239KB FIFO FPGA
1
本代码适用于想要入行数字IC领域,同步fifo设计代码
2021-12-29 14:01:32 2KB 数字IC
1
简单验证同步fifo功能的tb代码
2021-12-29 14:01:31 2KB 数字IC
1
Ft2232Hl 同步fifo Qt测试源码 测试程序
2021-11-10 18:35:55 19.11MB ftdi ft2232 同步fifo Qt
1
同步FIFO实现;包含顶层控制模块+FIFO控制模块+双端口RAM
2021-08-09 09:02:45 4.41MB FPGA
1
该项目包含用于实现FT2232H(FT245同步和异步模式)和用于高速USB传输的同步FIFO的文件。 要求: ipython2 ipython notebook cocotb iverilog gource gtkwave 用法: To open ipython notebook, run: ./run.sh To run gource animation and save it in video, run: ./gource.sh To push to remote repositories : hub or lab, run: ./git.sh push
2021-07-15 14:53:05 13KB Verilog
1