出租车计费器是出租车上必不可少的一种仪表,随着电子技术,特别是嵌入式应用技术的飞速发展,智能芯片越来越广的应用到了出租车计费器上。这使得出租车计费器能够精准的计算出行车里程及对应的价格,使乘客能够更直观明了的知道自己的乘车价格,而司机师傅也不用再靠人工计算来得出乘客的费用,避免了很多麻烦。本文以单片机STC89C51 为核心设计一款出租车计费器, 使能够实现里程及对应价格的显示,由于采用芯片的自动定时计数,所以能够准确的计算出总的行车里程并能转换成对应的价格来,这样能够避免出租车司机作弊的可能性,做到公平公正。   1 出租车计费器的设计要求与设计方案   1.1 出租车计费器设计要求
1
基于FPGA出租车计费器设计报告(verilog).doc
2022-06-21 17:01:40 88KB 出租车计费器
1
程序的问题:在2公里,计费会突然跳变,多加0.6元。 1)模拟出租车的运动和停止。直流电机用来模拟出租车的车轮子,使用RW3控制电机转速,使其从停止到高速旋转之间变化。每转动一圈认为是行走1米,每旋转1000圈,认为车子前进1公里。因此,需要检测电机的转动情况,每转一周,计米计数器增加1。 2)计费标准。2公里以内起步价5元,超出部分2元/公里,计费以0.1公里为步进。累计等待时间计费标准为1元/2分钟,不足2分钟的部分不收费。使用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始工作和计费。 3)显示方式。当出租车行进时,数码管显示里程数和总计费;当出租车等待时,数码管显示累计等待时间和总计费。总计费以元为单位,使用3位数码管显示,保留1位小数(比如xy.z)、里程数和等待时间均至少保留1位小数,使用4位数码管显示。中间一个数码管显示“-”隔开两组数据。
2022-06-09 09:55:57 5.62MB VHDL 出租车计费器设计
1
基于VHDL的出租车计费器设计 论文 完整版
2022-05-19 21:53:06 4.64MB 基于VHDL的出租车计费器设计
1
出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化 、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。本文介绍了出租车计价器系统在实际生产生活中的重要性,根据预定的设计要求和设计思路,采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路设计了一个实际的基于AheraFPGA芯片的出租车计价系统,通过在QuartusⅡ6.0软件下进行模拟仿真,并进行相应的硬件下载调试,证明该出租车计价系统具有实用出租车计价器的基本功能,各技术指标符合预定标准,如能进一步完善,将可以实用化和市场化,具有一定实用性。
1
基于vhdl出租车计费器设计说明书.pdf
2022-01-18 13:05:42 272KB 资料
关于车租车计费器的设计 有几个模块组成 分频模块 计量模块 控制模块 显示模块
2022-01-06 21:55:59 3.91MB FPGA 出租车计费器
1
基于VHDL的出租车计费器实现,代码解释详细,课程设计通过
2021-12-12 15:02:02 9.12MB VHDL出租车
1
此计费器与事实相符,可直接用于出租车 --计费模块 library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.ALL; use ieee.std_logic_unsigned.all; entity JIFEI is port(start,stop,js:in std_logic; LUCHEN:in INTEGER RANGE 0 TO 999; CLK_1:IN STD_LOGIC; DENGDAI:OUT INTEGER RANGE 0 TO 9; CHEFEI:out integer RANGE 0 TO 9999); end JIFEI; architecture BEHAV of JIFEI is signal CF:integer RANGE 0 TO 9999; signal JSH1:integer range 0 to 179; signal JSH2:integer range 0 to 59; SIGNAL DD: INTEGER RANGE 0 TO 9; begin process(LUCHEN,CLK_1,start,stop,js) begin IF CLK_1'EVENT AND CLK_1='1' THEN if stop='1' then CF<=0; DD<=0; end if; IF start='1' and stop='0' then if js='1' then if LUCHEN<2 then CF<=60; END IF; if 2<=LUCHEN AND LUCHEN<10 THEN CF=10 THEN CF<=CF+21; END IF; END IF; IF JS='0' THEN IF JSH1=179 THEN JSH1<=0; CF<=CF+7; ELSE JSH1<=JSH1+1; IF JSH2=59 THEN DD<=DD+1; JSH2<=0; ELSE JSH2<=JSH2+1; END IF; END IF; END IF; END IF; END IF; end process; DENGDAI<=DD; CHEFEI<=CF; end BEHAV;
2021-10-27 09:21:30 4.13MB 出租车计费器
1