基于vhdld的出租车计费器设计

上传者: yj25098534 | 上传时间: 2021-10-27 09:21:30 | 文件大小: 4.13MB | 文件类型: -
此计费器与事实相符,可直接用于出租车 --计费模块 library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.ALL; use ieee.std_logic_unsigned.all; entity JIFEI is port(start,stop,js:in std_logic; LUCHEN:in INTEGER RANGE 0 TO 999; CLK_1:IN STD_LOGIC; DENGDAI:OUT INTEGER RANGE 0 TO 9; CHEFEI:out integer RANGE 0 TO 9999); end JIFEI; architecture BEHAV of JIFEI is signal CF:integer RANGE 0 TO 9999; signal JSH1:integer range 0 to 179; signal JSH2:integer range 0 to 59; SIGNAL DD: INTEGER RANGE 0 TO 9; begin process(LUCHEN,CLK_1,start,stop,js) begin IF CLK_1'EVENT AND CLK_1='1' THEN if stop='1' then CF<=0; DD<=0; end if; IF start='1' and stop='0' then if js='1' then if LUCHEN<2 then CF<=60; END IF; if 2<=LUCHEN AND LUCHEN<10 THEN CF=10 THEN CF<=CF+21; END IF; END IF; IF JS='0' THEN IF JSH1=179 THEN JSH1<=0; CF<=CF+7; ELSE JSH1<=JSH1+1; IF JSH2=59 THEN DD<=DD+1; JSH2<=0; ELSE JSH2<=JSH2+1; END IF; END IF; END IF; END IF; END IF; end process; DENGDAI<=DD; CHEFEI<=CF; end BEHAV;

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明