这是基于Altera 公司DE2开发板的所写的VGA显示代码,可以设置显示区域,和颜色,代码简单,移动,采用verilog语言
2023-04-22 22:39:44 2KB fpga verilog vga
1
软件实现了在4.3寸LCD左上角显示一个数字时钟,针对VGA/LCD控制时许有一定基础的人群。开发环境为Quartus13.1,使用4.3寸LCD(RGB565接口)。整个软件主要由timer产生小时、分钟数值,经过BCD转换后输入到pic_char模块,然后将rgb输出到tft_ctl模块。
2023-03-23 14:08:53 12.95MB FPGA VGA/LCD 数字时钟 verilog
1
摘要:为了实现数字示波器的便携化和模块化,基于FPGA设计了1款VGA显示的简易数字示波器。利用FPGA芯片将控制单元和存储单元融合代替了传统的单片机控制单元,
2023-03-18 18:44:24 855KB
1
这是一款在VGA上显示图像的程序,是基于FPGA的芯片,用VHDL语言编写,非常实用。
2022-12-11 11:30:22 75KB FPGA VGA 图像显示
1
FPGA设计范例之VGA设计 什么是VGA? Video Graphics Array: 视频图形阵列 IBM在1987年推出的使用模拟信号的一种 视频传输标准. VGA可分为VGA硬件接口和VGA协议; VGA硬件为15针公头母头接头,如下图所示; 本设计---FPGA对VGA接口的驱动,重点在于 理解VGA协议中的VGA时序。
2022-12-11 09:41:23 2.55MB vga
1
本人经过大量调试的成功作品,基于DE2硬件平台,能在电脑显示器上显示出彩条信号,全蓝,全绿等色彩,软件开发环境是Quartus II 7.2+Nios II 7.2 IDE.
2022-11-30 10:51:20 6.05MB FPGA SOPC
1
使用VHDL控制FPGA VGA显示彩条和棋盘格
2022-11-23 21:57:54 325KB vga__vhdl vga显示_vhdl vga vga显示
Verilog VGA显示驱动,显示效果良好,可移植性强,basys3可用
2022-11-08 21:56:44 4KB VGA显示驱动
1
基于Verilog的VGA显示,可直接运行
2022-10-31 18:00:43 13KB fpga Verilog VGA
1
显示器显示 xilinx VGA显示 VGA输出八色彩条实验 VGA输出乒乓球实验
2022-10-27 11:03:33 974KB Xilinx VGA显示程序
1