RGB.rar_VGA VHDL_VGA显示 VHDL_vga_vga显示_vhdl

上传者: 42651281 | 上传时间: 2022-11-23 21:57:54 | 文件大小: 325KB | 文件类型: RAR
使用VHDL控制FPGA VGA显示彩条和棋盘格

文件下载

资源详情

[{"title":"( 97 个子文件 325KB ) RGB.rar_VGA VHDL_VGA显示 VHDL_vga_vga显示_vhdl","children":[{"title":"RGB","children":[{"title":"db","children":[{"title":"color.root_partition.map.reg_db.cdb <span style='color:#111;'> 211B </span>","children":null,"spread":false},{"title":"color.cmp_merge.kpt <span style='color:#111;'> 221B </span>","children":null,"spread":false},{"title":"color.cbx.xml <span style='color:#111;'> 87B </span>","children":null,"spread":false},{"title":"color.db_info <span style='color:#111;'> 155B </span>","children":null,"spread":false},{"title":"color.cmp.rdb <span style='color:#111;'> 15.41KB </span>","children":null,"spread":false},{"title":"color.cmp.kpt <span style='color:#111;'> 216B </span>","children":null,"spread":false},{"title":"color.lpc.rdb <span style='color:#111;'> 414B </span>","children":null,"spread":false},{"title":"color.sgdiff.hdb <span style='color:#111;'> 10.37KB </span>","children":null,"spread":false},{"title":"color.rtlv.hdb <span style='color:#111;'> 10.28KB </span>","children":null,"spread":false},{"title":"color.map.kpt <span style='color:#111;'> 681B </span>","children":null,"spread":false},{"title":"color.rtlv_sg.cdb <span style='color:#111;'> 6.34KB </span>","children":null,"spread":false},{"title":"color.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"color.map.bpm <span style='color:#111;'> 563B </span>","children":null,"spread":false},{"title":"color.ipinfo <span style='color:#111;'> 178B </span>","children":null,"spread":false},{"title":"color.asm.rdb <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"color.lpc.html <span style='color:#111;'> 372B </span>","children":null,"spread":false},{"title":"color.pti_db_list.ddb <span style='color:#111;'> 192B </span>","children":null,"spread":false},{"title":"color.eda.qmsg <span style='color:#111;'> 2.48KB </span>","children":null,"spread":false},{"title":"color.sta.rdb <span style='color:#111;'> 9.96KB </span>","children":null,"spread":false},{"title":"color.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 3.65KB </span>","children":null,"spread":false},{"title":"color.hif <span style='color:#111;'> 387B </span>","children":null,"spread":false},{"title":"color.cmp.cdb <span style='color:#111;'> 17.22KB </span>","children":null,"spread":false},{"title":"color.cmp.bpm <span style='color:#111;'> 599B </span>","children":null,"spread":false},{"title":"color.rtlv_sg_swap.cdb <span style='color:#111;'> 196B </span>","children":null,"spread":false},{"title":"color.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"color.map.qmsg <span style='color:#111;'> 8.41KB </span>","children":null,"spread":false},{"title":"color.smart_action.txt <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"color.tmw_info <span style='color:#111;'> 310B </span>","children":null,"spread":false},{"title":"color.sld_design_entry_dsc.sci <span style='color:#111;'> 217B </span>","children":null,"spread":false},{"title":"color.map.ammdb <span style='color:#111;'> 138B </span>","children":null,"spread":false},{"title":"color.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"color.sld_design_entry.sci <span style='color:#111;'> 217B </span>","children":null,"spread":false},{"title":"color.sta_cmp.6_slow.tdb <span style='color:#111;'> 14.64KB </span>","children":null,"spread":false},{"title":"color.hier_info <span style='color:#111;'> 349B </span>","children":null,"spread":false},{"title":"color.(0).cnf.cdb <span style='color:#111;'> 7.84KB </span>","children":null,"spread":false},{"title":"color.map.cdb <span style='color:#111;'> 7.34KB </span>","children":null,"spread":false},{"title":"color.cmp.idb <span style='color:#111;'> 4.68KB </span>","children":null,"spread":false},{"title":"color.vpr.ammdb <span style='color:#111;'> 336B </span>","children":null,"spread":false},{"title":"color.cmp0.ddb <span style='color:#111;'> 29.39KB </span>","children":null,"spread":false},{"title":"color.pplq.rdb <span style='color:#111;'> 247B </span>","children":null,"spread":false},{"title":"color.sgdiff.cdb <span style='color:#111;'> 7.39KB </span>","children":null,"spread":false},{"title":"color.lpc.txt <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"prev_cmp_color.qmsg <span style='color:#111;'> 46.25KB </span>","children":null,"spread":false},{"title":"color.cmp.hdb <span style='color:#111;'> 11.78KB </span>","children":null,"spread":false},{"title":"color.asm.qmsg <span style='color:#111;'> 2.24KB </span>","children":null,"spread":false},{"title":"color.routing.rdb <span style='color:#111;'> 3.56KB </span>","children":null,"spread":false},{"title":"color.map_bb.hdb <span style='color:#111;'> 8.46KB </span>","children":null,"spread":false},{"title":"color.tis_db_list.ddb <span style='color:#111;'> 192B </span>","children":null,"spread":false},{"title":"color.map.hdb <span style='color:#111;'> 11.02KB </span>","children":null,"spread":false},{"title":"color.map_bb.cdb <span style='color:#111;'> 1.72KB </span>","children":null,"spread":false},{"title":"color.sta.qmsg <span style='color:#111;'> 9.84KB </span>","children":null,"spread":false},{"title":"color.(0).cnf.hdb <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false},{"title":"color.map.rdb <span style='color:#111;'> 1.22KB </span>","children":null,"spread":false},{"title":"color.fit.qmsg <span style='color:#111;'> 21.32KB </span>","children":null,"spread":false},{"title":"color.pre_map.hdb <span style='color:#111;'> 10.32KB </span>","children":null,"spread":false}],"spread":false},{"title":"color.vhd <span style='color:#111;'> 2.53KB </span>","children":null,"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"color.db_info <span style='color:#111;'> 155B </span>","children":null,"spread":false},{"title":"color.root_partition.map.hdb <span style='color:#111;'> 11.36KB </span>","children":null,"spread":false},{"title":"color.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"color.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.cdb <span style='color:#111;'> 9.32KB </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.ammdb <span style='color:#111;'> 395B </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.hdb <span style='color:#111;'> 11.41KB </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"color.root_partition.map.dpi <span style='color:#111;'> 668B </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.dfp <span style='color:#111;'> 33B </span>","children":null,"spread":false},{"title":"color.root_partition.map.cdb <span style='color:#111;'> 7.32KB </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.kpt <span style='color:#111;'> 218B </span>","children":null,"spread":false},{"title":"color.root_partition.cmp.rcfdb <span style='color:#111;'> 6.54KB </span>","children":null,"spread":false},{"title":"color.root_partition.map.hbdb.hdb <span style='color:#111;'> 10.72KB </span>","children":null,"spread":false},{"title":"color.root_partition.map.hbdb.sig <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"color.root_partition.map.kpt <span style='color:#111;'> 673B </span>","children":null,"spread":false}],"spread":false},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"color.qsf <span style='color:#111;'> 3.11KB </span>","children":null,"spread":false},{"title":"color.qpf <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"color.qws <span style='color:#111;'> 750B </span>","children":null,"spread":false},{"title":"color.vhd.bak <span style='color:#111;'> 2.53KB </span>","children":null,"spread":false},{"title":"simulation","children":[{"title":"modelsim","children":[{"title":"color.vho <span style='color:#111;'> 55.33KB </span>","children":null,"spread":false},{"title":"color_vhd.sdo <span style='color:#111;'> 50.42KB </span>","children":null,"spread":false},{"title":"color_modelsim.xrf <span style='color:#111;'> 4.06KB </span>","children":null,"spread":false},{"title":"color.sft <span style='color:#111;'> 112B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"output_files","children":[{"title":"color.sta.summary <span style='color:#111;'> 942B </span>","children":null,"spread":false},{"title":"color.fit.smsg <span style='color:#111;'> 456B </span>","children":null,"spread":false},{"title":"color.pin <span style='color:#111;'> 29.95KB </span>","children":null,"spread":false},{"title":"color.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"color.sof <span style='color:#111;'> 137.54KB </span>","children":null,"spread":false},{"title":"color.asm.rpt <span style='color:#111;'> 7.88KB </span>","children":null,"spread":false},{"title":"color.sta.rpt <span style='color:#111;'> 54.84KB </span>","children":null,"spread":false},{"title":"color.jdi <span style='color:#111;'> 223B </span>","children":null,"spread":false},{"title":"color.map.rpt <span style='color:#111;'> 23.12KB </span>","children":null,"spread":false},{"title":"color.pof <span style='color:#111;'> 512.22KB </span>","children":null,"spread":false},{"title":"color.map.summary <span style='color:#111;'> 325B </span>","children":null,"spread":false},{"title":"color.fit.summary <span style='color:#111;'> 415B </span>","children":null,"spread":false},{"title":"color.eda.rpt <span style='color:#111;'> 5.73KB </span>","children":null,"spread":false},{"title":"color.fit.rpt <span style='color:#111;'> 95.67KB </span>","children":null,"spread":false},{"title":"color.flow.rpt <span style='color:#111;'> 7.60KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明