基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。
2023-03-15 22:08:57 686KB 7960 hdlc verilog倍频 倍频_verilog
简单的verilog程序,实现输出信号为输入信号的2倍频。
2021-05-24 11:32:09 280B CPLD Verilog 倍频
1
一个工程文件 几段简单的代码 一个输入一个输出(50Mhz倍频到100Mhz)
2019-12-21 20:09:32 unknown verilog 倍频 quartus
1