STC89S51+双路DS18B20+4为数码管显示PROTEL硬件原理图+PCB工程+软件源码,可以作为你的学习设计参考。 void main() { // uchar i,j; // uart_init(); search_romid_ds18b20();//上电自动搜索两路DS18B20的ROM值,并将其存入rom_id数组,此函数及rom_id数组在double_ds18b20.c文件中定义 init_timer_ds18b20();//初始化定时器0 /* for(i=0;i<2;i++) for(j=0;j<8;j++) uart_send_byte(rom_id[i][j]);*/ while(1) { T=read_temp_ds18b20();//将读到的温度赋值给T,用于显示。此函数在double_ds18b20.c文件中定义 display_ds18b20(T); key_scan_ds18b20();//扫描键盘,此函数在contoller.c文件中定义 alarm_ds18b20();//温度报警函数,在controller.
EP1C6Q240_RTL8208B_TFP401百兆DVI显示FPGA PCI控制卡protel硬件原理图+PCB+FPGA逻辑源码,4层板设计,包括完整的原理图PCB设计工程文件,FPGA逻辑源码,已在项目中使用,可以做为你的设计参考。 硬件器件如下: Library Component Count : 70 Name Description ---------------------------------------------------------------------------------------------------- ELECTROS-VD HY57V653220 Cap Capacitor RES2 CAP CAP-VD JTAG CON4 Connector RES2 CON6 Connector AT24C01A/02 EPCS4 AT45DB041B-S U? XTAL4-VD RES3-VD SWPB-VD LED-VD RES4-VD FPGA_P_AS CAP DS18B20 Q? EP2C8Q208 HEADER 7X2 RES2 LED CAP Capacitor DIODE Diode ELECTRO1 CON2 ZENER2 LT1086MC 4 HEADER HEADER 4 DIODE SCHOTTKY Schottky Diode 1K10P144 1K10P144 74ALVC164245DL 1K10P144 SII1178 TX 1K10P144 LM2831 1K10P144 ELECTRO1 INDUCTORIRON-VD HEADER 2 DIODE SCHOTTKY2 Schottky Diode HEADER 5X2 HEADER 8X2 SW-PB 8 HEADER HEADER 8 MAGNETIC BCM5421S GBIT-CHIP INDUCTOR1 5208 RESPACK4B-VD XTAL2-VD NPN NPN Transistor MAGNETIC40 HEADER 6 RTL8208B CON64 Connector
TMS320DM642 TVP5150视频处理DSP开发板protel硬件原理图PCB文件,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。
MINIUSB接口供电EPM240 CPLD三色LEDE灯爱心灯板Protel99se设计硬件原理图PCB+VERILOG 逻辑工程源码文件,硬件2层板设计,大小为66x57mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,已经制板测试使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 CPLD芯片为MAX2系列中的EPM240T100C5,2版3色流水灯及灯闪DEMO QUARTUS逻辑工程文件,逻辑工程软件版本为 Quartus II 10.1 (32-Bit) timescale 1ns/100ps module love_heart( clk, resetb, key_in_a, key_in_b, led_out_b, led_out_r, led_out_g ); input clk; input resetb; input key_in_a; input key_in_b; output[23:0] led_out_b; output[23:0] led_out_r; output[23:0] led_out_g; reg[23:0] led_out_b; reg[23:0] led_out_r; reg[23:0] led_out_g; //*****************************led_counter********************************* reg[31:0] led_counter; always@(posedge clk or negedge resetb) begin if (!resetb) led_counter <=0; else led_counter <= led_counter +1'b1; end //*********************led_out_b********************************** always@(posedge clk or negedge resetb) begin if (!resetb) led_out_b <=24'hfffffff; else case(led_counter[28:25]) 4'h1: led_out_b <=24'h0000000; 4'h2: led_out_b <=24'hfffffff; 4'h7: led_out_b <=24'h0000000; 4'h8: led_out_b <=24'hfffffff; 4'h9: led_out_b <=24'h0000000; 4'ha: led_out_b <=24'hfffffff; 4'hb: led_out_b <=24'hfffffff; 4'hc: led_out_b <=24'hfffffff; 4'hd: led_out_b <=24'h0000000; 4'he: led_out_b <=24'hfffffff; default: led_out_b <= 24'hfffffff; endcase end //*********************led_out_r********************************** always@(posedge clk or negedge resetb) begin if (!resetb) led_out_r <=24'hfffffff; else case(led_counter[28:25]) 4'h3: led_out_r <=24'h0000000; 4'h4: led_out_r <=24'hfffffff; 4'h7: led_out_r <=24'h0000000; 4'h8: led_out_r <=24'hfff
STM8L05单片机设计2.4G无线通信抢答器protel硬件原理图PCB+封装库+软件源码+设计文档,硬件2层板设计,包括完整的原理图和PCB文件,已制版验证,可以做为你的设计参考。 封装库型号列表: Component Count : 19 Component Name ----------------------------------------------- 2.4G_B C0603 C0805 CON4 CON8S CON10 D0603 F0805 KEY-4S MC-306 MICROUSB PCBCOMPONENT_1 - DUPLICATE2 R0603 SOD323 SOT-23 验证板由LT8920和 STM8L051组成,主要演示2.4G的无线收发通信实验。本演示,需要两个PCBA,一个板做发射,另外一个板做接收。硬件都一样的,只是烧录进单片机的软件不同(一个是Tx的HEX文件,另外一个是Rx的HEX文件) SSOP16 TAN-A TSSOP20 YJ0603-2
ISO7816 SmartCard接口板读卡器测试板protel硬件原理图PCB文件,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板使用,可作为你产品设计的参考。
STM32F103C8T6 J-LINK OB下载板protel硬件原理图PCB,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板使用,可作为你产品设计的参考。
CY7C68013-128AC USB2.0最小系统开发板protel硬件原理图+PCB文件,采用2层板设计,板子大小为114x86mm,双面布局布线,主控芯片位USB专用单片机CY7C68013-128AC。Protel 99se 设计的DDB后缀项目工程文件,包括完整原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。
FPGA EP1C6Q240+RTL8208B 8端口百兆网口板 protel硬件原理图+PCB文件,采用4层板设计,板子大小为148x118mm,双面布局布线,FPGA芯片选用cyclone系列中的EP1C6Q240,8端口百兆网口PHY芯片选用RTL8208B,网口变压器为MAGNETIC40,SDRAM芯片选用IS42S32200。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
EP2C5T144+RTL8201CL+IS61LV2568L百兆网口板 protel硬件原理图+PCB文件,,板子大小为148x118mm,双面布局布线,FPGA芯片选用cyclone系统中的EP2C5T144,百兆网口PHY芯片选用RTL8201CL,SRAM芯片选用IS61LV2568L-8T,网口变压器为H1102,双路RJ45接口,4路2.54mmIDC16的牛角插座。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。