使用SystemVerilog搭建的简单UVM验证平台,DUT为Booth乘法器,使用Linux系统中VCS+Verdi+DVE完成调试
2022-07-10 21:03:16 910KB UVM booth乘法器
1
booth乘法器,十八位,利用Verilog进行编写,src为源码文件。
2021-11-24 14:21:06 23KB booth乘法器
1
64位booth乘法器
2021-08-19 15:15:51 192KB 乘法器
1
Booth算法基本原理和设计思想进行详细介绍!入门必备!
2021-08-19 09:27:29 41KB Booth 乘法器
1
booth乘法器首先,当然是研究Booth算法了,然后就是那一组数举例,对着每一次运算分析,理解算法每一步骤原因,再后就是画状态图,确定每一步的作用.然后就是写了…不过,这次写的时候,懂哥觉得难以平衡multiplier和multiplicant的移位和运算,于是参考了西里提书上的一个思路,就是在处理时序乘法器处理011(或者100)情况时,十分精巧地将被乘数移一位后和乘积相加,然后再移动一位,在这些动作之后,位置指针都同时到了下一位Yi中当两次移位后,正确地移到了运算结束后的位置.
2021-07-05 18:19:50 697B booth
1
8位Booth乘法器设计,8位乘8位的基2的booth乘法器的verilog实现。满足1)利用硬件描述语言描述8位数乘法器运算;2)输入为复位信号、乘法执行按键;3)时钟信号为开发板上时钟信号。
2021-05-11 17:20:29 2KB Booth 乘法器 verilog
1
32位有符号数Booth乘法器,用Verilog代码实现,只是初级的设计。
2021-04-12 12:44:32 3KB Verilog
1
Booth乘法器及测试 8*8bit booth 乘法器及测试 module multiplier(prod, busy, mc, mp, clk, start); output [15:0] prod;// short for product output busy; input [7:0] mc, mp;// multiplicand multipier input clk, start; reg [7:0] A, Q, M; reg Q_1; reg [3:0] count;
2019-12-21 21:34:45 3KB Booth乘法器
1
华中科大的booth乘法器报告,写的很详细,包括原理和代码
2019-12-21 18:50:17 819KB booth,乘法器,IC
1