简单UVM平台_UVM_booth乘法器_

上传者: 42683392 | 上传时间: 2022-07-10 21:03:16 | 文件大小: 910KB | 文件类型: ZIP
使用SystemVerilog搭建的简单UVM验证平台,DUT为Booth乘法器,使用Linux系统中VCS+Verdi+DVE完成调试

文件下载

资源详情

[{"title":"( 42 个子文件 910KB ) 简单UVM平台_UVM_booth乘法器_","children":[{"title":"Multiplier","children":[{"title":"sim","children":[{"title":"logs","children":[{"title":"case0.log <span style='color:#111;'> 1.90KB </span>","children":null,"spread":false}],"spread":true},{"title":"testcase.sv <span style='color:#111;'> 828B </span>","children":null,"spread":false},{"title":"tr_db.log <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"vcs_compile.log <span style='color:#111;'> 28.30KB </span>","children":null,"spread":false},{"title":"novas.rc <span style='color:#111;'> 31.76KB </span>","children":null,"spread":false},{"title":"code.flist <span style='color:#111;'> 352B </span>","children":null,"spread":false},{"title":"ucli.key <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"waves","children":[{"title":"case0.fsdb <span style='color:#111;'> 42.05KB </span>","children":null,"spread":false}],"spread":true},{"title":"vcswork","children":null,"spread":false},{"title":"novas.conf <span style='color:#111;'> 272.30KB </span>","children":null,"spread":false},{"title":"verdirun <span style='color:#111;'> 532B </span>","children":null,"spread":false},{"title":"verdiLog","children":[{"title":"turbo.log <span style='color:#111;'> 329B </span>","children":null,"spread":false},{"title":".10112localhost.localdomain.conf <span style='color:#111;'> 59.70KB </span>","children":null,"spread":false},{"title":"ToNetlist.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"novas.log <span style='color:#111;'> 329B </span>","children":null,"spread":false},{"title":"novas.rc <span style='color:#111;'> 31.75KB </span>","children":null,"spread":false},{"title":"novas_autosave.ses.wave.0 <span style='color:#111;'> 1.43KB </span>","children":null,"spread":false},{"title":"novas_autosave.ses <span style='color:#111;'> 1.80KB </span>","children":null,"spread":false},{"title":"novas_autosave.ses.config <span style='color:#111;'> 11.38KB </span>","children":null,"spread":false},{"title":"fsdb.log <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"verdi.cmd.bak <span style='color:#111;'> 7.70KB </span>","children":null,"spread":false},{"title":"compiler.log <span style='color:#111;'> 900B </span>","children":null,"spread":false},{"title":"verdi.cmd <span style='color:#111;'> 4.03KB </span>","children":null,"spread":false},{"title":"exe.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"novas_autosave.ses.png <span style='color:#111;'> 415.34KB </span>","children":null,"spread":false},{"title":"pes.bat <span style='color:#111;'> 18B </span>","children":null,"spread":false}],"spread":false},{"title":"vc_hdrs.h <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"simv <span style='color:#111;'> 1017.44KB </span>","children":null,"spread":false},{"title":"DVEfiles","children":null,"spread":false},{"title":"runvcs <span style='color:#111;'> 2.64KB </span>","children":null,"spread":false},{"title":"novas_dump.log <span style='color:#111;'> 15.18KB </span>","children":null,"spread":false}],"spread":false},{"title":"rtl","children":[{"title":"Booth8X8.v <span style='color:#111;'> 2.48KB </span>","children":null,"spread":false}],"spread":true},{"title":"tb","children":[{"title":"env","children":[{"title":"mult_scoreboard.sv <span style='color:#111;'> 1.35KB </span>","children":null,"spread":false},{"title":"mult_if.sv <span style='color:#111;'> 118B </span>","children":null,"spread":false},{"title":"mult_transaction.sv <span style='color:#111;'> 491B </span>","children":null,"spread":false},{"title":"mult_sequencer.sv <span style='color:#111;'> 232B </span>","children":null,"spread":false},{"title":"mult_env.sv <span style='color:#111;'> 1.81KB </span>","children":null,"spread":false},{"title":"mult_monitor.sv <span style='color:#111;'> 925B </span>","children":null,"spread":false},{"title":"mult_agent.sv <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"mult_driver.sv <span style='color:#111;'> 954B </span>","children":null,"spread":false},{"title":"mult_sequence.sv <span style='color:#111;'> 456B </span>","children":null,"spread":false}],"spread":true},{"title":"top_tb.sv <span style='color:#111;'> 708B </span>","children":null,"spread":false},{"title":"tc","children":[{"title":"case0.sv <span style='color:#111;'> 828B </span>","children":null,"spread":false},{"title":"mult_base_test.sv <span style='color:#111;'> 686B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明