侯伯亭版VHDL语言经典教程《VHDL硬件描述语言与数字逻辑电路设计(第三版)》
2023-09-07 15:39:10 24.9MB VHDL 数字逻辑电路
1
VHDL硬件描述语言与数字逻辑电路设计-侯伯亨.rar VHDL硬件描述语言与数字逻辑电路设计-侯伯亨.rar VHDL硬件描述语言与数字逻辑电路设计-侯伯亨.rar
2022-05-08 11:48:33 16.9MB VHDL 数字逻辑电路设计 侯伯亨
1
针对Multisim软件的元件库中缺少拨号集成电路元件,无法进行电话机电路仿真的情况,本文采用了Multisim提供的VHDL数字电路仿真模块,设计一个基于VHDL硬件描述语言的元件,并以此元件为基础,结合模拟元件进行拨号集成电路仿真设计。对设计的拨号集成电路HM9102D进行了功能测试,测试结果符合拨号集成电路的基本逻辑功能要求及信号的输入输出要求。该设计表明,对复杂的数模结合集成电路,采用VHDL模块与模拟电路相结合的方法可以方便地进行仿真设计。
1
《VHDL硬件描述语言与数字逻辑电路设计(第3版)》系统地介绍了VHDL硬件描述语言以及用该语言设计数字逻辑电路和数字系统的新方法。全书共13章,第1、3、4、5、6、7、8、9章主要介绍VHDL语言的基本知识和用其设计简单逻辑电路的基本方法;第2、10章简单介绍数字系统设计的一些基本知识;第11章以洗衣机洗涤控制电路设计为例,详述一个小型数字系统设计的步骤和过程;第12章介绍常用微处理器接口芯片的设计实例;第13章介绍VHDL语言93版和87版的主要区别。《
1
VHDL Quartus 四分频器源代码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ---------------------------------------------- ENTITY clk_div IS PORT( clk: IN STD_LOGIC;--时钟输入 clk_div2: OUT STD_LOGIC; clk_div4: OUT STD_LOGIC; clk_div8: OUT STD_LOGIC; clk_div16: OUT STD_LOGIC ); END ENTITY clk_div; --------------------------------------------------- ARCHITECTURE rtl OF clk_div IS
2021-08-21 09:38:03 162KB Quartus VHDL 硬件描述语言 四分频器
VHDL Quartus 四分频器源代码-二进制位实现 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ---------------------------------------------- ENTITY clk_div IS PORT( clk: IN STD_LOGIC;--时钟输入 clk_divout: OUT STD_LOGIC ); END ENTITY clk_div; --------------------------------------------------- ARCHITECTURE rtl OF clk_div IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0); --定义一个四位的信号 SIGNAL countout:STD_LOGIC_VECTOR(11 DOWNTO 0); --定义一个四位的
2021-08-21 09:38:02 166KB 四分频器 Quartus VHDL 硬件描述语言
VHDL Quartus 同步D触发器源代码 --2015/11/25 --同步D触发器 LIBRARY IEEE; --调用库 USE IEEE.STD_LOGIC_1164.ALL; --库文件 USE IEEE.STD_LOGIC_UNSIGNED.ALL; --库文件 ------------------------------------------------------------ ENTITY Dtrigger IS --定义实体名,其名称必须与VHDL文本文件名称相同 PORT( CLK: IN STD_LOGIC; --输入端口,时钟输入 DIN: IN STD_LOGIC; --输入端口,数据输入 RST: IN STD_LOGIC; DOUT: OUT STD_LOGIC ); --输出端口,溢出标志 END ENTITY Dtrigger; --结束端口定义
2021-08-21 09:38:02 161KB Quartus VHDL 硬件描述语言 D触发器
1
VHDL Quartus 循环 LED 流水灯 源代码 前言 流水灯是每个学电子的入门“游戏” ,示意图如图 1,其原理极其简单,但是可 玩性却极强,可以就 8个 LED写出不同花样的程序 。 源代码 --wangding --north university of china --2015 11 20 --LED_shumaguanDTSMXS LIBRARY IEEE; --库文件 USE IEEE.STD_LOGIC_1164.ALL; ENTITY DTSMXS IS --文件名必须 与实体名相同 PORT(CLK:IN STD_LOGIC; --定义时钟输 入端口 B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:IN
2021-08-21 09:38:00 273KB Quartus VHDL 硬件描述语言 流水灯
可编程硬件描述语言VHDL Quartus三输入与门源代码.pdf
2021-08-21 09:37:58 162KB VHDL 硬件描述语言 Quartus 三输入与门
1
《VHDL硬件描述语言与数字逻辑电路设计》(西电版).pdf 侯伯亨 顾新 编著
2021-07-05 23:29:47 18.45MB VHDL 硬件描述语言 数字逻辑电路设计
1