VCS:registered:是一个高性能、高容量的Verilog:registered:模拟器,它将高级抽象验证技术集成到一个开放的本地平台中。 VCS是一个编译后的代码模拟器。 它使您能够分析、编译和模拟Verilog、SystemVerilog、OpenVera和SystemC设计描述。 它还提供了一组仿真和调试特性来验证您的设计。 这些特性提供了源代码级调试和仿真结果查看的功能。 VCS通过提供用于RTL功能验证的最快和最高容量的Verilog仿真来加速完成系统验证。
2022-05-05 10:26:03 7.55MB UVM/VCS SNPS
1
1、UVM应用指南及源代码分析 2、验证与VCS使用 3、VCS使用中文教程
2021-09-02 14:18:10 3.29MB UVM VCS System Verilog
1
uvm+vcs+verdi基本平台搭建,也许平台不是很难,但是网上没有 UVM 在 VCS 中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明 UVM+VCS+Verdi 的 liunx 平台搭建过程
2021-08-12 09:11:09 9.64MB UVM 数字芯片验证 UVM VCS VERDI
1
UVM+VCS+Verdi 的 liunx 平台搭建过程和 Questa-sim+UVM 的 window 平台搭建。
2021-04-08 17:22:51 550KB UVM VCS Verdi QuestaSim
1
VCS自带的UVM生成器用户指南
2021-04-02 09:11:00 376KB UVM VCS
1