FPGA(EP2C70)+MCU(CY7C68013A)_SRAM_USB_7816开发板protel硬件原理图+PCB文件,采用6层板设计,板子大小为155x75mm,双面布局布线,FPGA芯片选用cyclone2系统中的EP2C70F672,MCU芯片选用CY7C68013A-100PIN,FRAM芯片选用MR2A16A,IC卡接口芯片MAX1840,电源芯片UCC383-5,LT1764AEQ等。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用