FPGA课程设计——交通灯设计 题目要求 (1) 以车为主体,绿灯、黄灯、红灯、绿灯依次点亮; (2)十字路口,具有两组红绿灯; (3)采用倒计时显示剩余时间,数码管动态显示; (4)红绿灯时间按键可调。
2022-11-14 21:01:07 7.72MB FPGA交通灯设计 FPGA课程设计 Verilog
1
这是有个基于FPGA的交通灯设计 (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。
2021-07-08 01:03:00 3.51MB FPGA交通灯设计
1
可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。由于可编程器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样快捷方便。由于高速发展的FPGA/CPLD兼有串、并行工作方式和高速、高可靠性的特点,在电子系统设计中得到了广泛应用。 通常使用硬件描述语言(Hardware DescriPtion Lan-guage,HDL)进行数字电子系统设计。目前应用广泛的硬件描述语言有:VHDL语言, Verilog HDL语言,AHDL语言。VHDL语言由于具有强大的行为描述能力和丰富的仿真语句从而成为系统设计领域最佳的硬件描述语言。
2021-05-30 08:54:04 412KB FPGA 交通灯设计与实现 源程序
1
FPGA交通灯课程设计,基于Verilog程序语言。包含课程任务书、源代码程序、对应PPT、实验结果的视频讲解。可查看相应的文章详解:https://zhiqianghe.blog.csdn.net/article/details/107022548。
2021-03-16 22:01:51 52.81MB FPGA Ve'ri'log 交通灯
1
FPGA 交通灯 设计(基于Basys2设计,使用者只需移植到板子上即可使用,三段式状态机编写)
2019-12-21 21:33:03 770KB FPGA 交通灯
1
本实验设计一个十字路口的交通灯控制器,分为东西和南北两个部分。每个部分有五盏灯,分别为左转灯、直行灯、右转灯、人行道灯及黄灯,另外还有一个倒计时器。左转灯、直行灯、右转灯、人行道灯亮表示允许通行,灯灭表示禁止通行;黄灯亮表示即将有信号灯的状态发生改变;倒计时显示了到下一状态的时间。 2.状态表(0表示灯灭,1表示灯亮) 时间度量 东西方向  南北方向 东西方向 南北方向 t/s ← ↑ → 行人 黄 ← ↑ → 行人 黄 倒计时/s 倒计时/s 0~13 0 1 1 0 0 0 0 0 0 0 13 45 13~15 0 1 1 0 1 0 0 0 0 0 2   15~28 0 1 0 1 0 0 0 0 0 0 13   28~30 0 1 0 1 1 0 0 0 0 0 2   30~43 1 0 0 0 0 0 0 0 0 0 13   43~45 1 0 0 0 1 0 0 0 0 0 2   45~58 0 0 0 0 0 0 1 1 0 0 45 13 58~60 0 0 0 0 0 0 1 1 0 1   2 60~73 0 0 0 0 0 0 1 0 1 0   13 73~75 0 0 0 0 0 0 1 0 1 1   2 75~88 0 0 0 0 0 1 0 0 0 0   13 88~90 0 0 0 0 0 1 0 0 0 1   2 3.状态图(低电平表示灯灭,高电平表示灯亮) 4.顶层设计图 如图所示,交通灯控制器主要分为三个模块,交通灯状态控制,交通灯显示和倒计时。交通灯状态控制模块:接受频率为1Hz的时钟信号,根据该信号进行处理,对交通灯显示和倒计时模块给出相应的状态编号(12个状态)。交通灯显示模块:通过相应的状态设置两组交通灯的亮灭。倒计时模块:通过相应的状态确定倒计时的基数及显示。
2019-12-21 20:19:42 546KB FPGA 交通灯 设计
1