两个电位器来调整输出两路PWM波 程序已验证 下载直接可以应用
2023-03-30 15:08:54 2KB PWM
1
STM42F46基本定时器,6路PWM输出,可随意设置占空比,加有简单的中文注释。
2022-10-24 14:52:07 4.46MB STM32F4 pwm
1
STM32四路PWM输出,输出20%,40%,60% ,80% 占空比的PWM波
2022-05-09 22:43:27 472KB STM32 PWM输出
1
一、硬件要求 STM32F407ZGT6+L298N+两个电机+12V电源 定义了6个GPIO,4个作为逻辑输入(正反转控制) 2两个作为使能端 IN0 IN1 连接 D0 D1,作为电机A的逻辑输入 IN2 IN3 连接 B5 B6,作为电机B的逻辑输入 PF8 为电机A的使能端 PF 7为电机B的使能端 详细文章课以参考https://blog.csdn.net/m0_59671068/article/details/124164241
2022-05-04 16:56:21 8.62MB stm32
1
STM32F103 利用定时器的实现12路硬PWM输出 资料是整个工程文件,测试可以直接使用,并有备注调节脉宽说明 基于KEIL
2022-03-03 18:48:53 2.7MB STM32 PWM
1
写好的timer3和timer4各用4通道pwm输出,一共可控制8个pwm受控设备,每路信号均可单独调整占空比,但是频率在一个定时器下是一样的,可以分为timer3和4两种频率。本例程用于控制RGB灯带,接上pwm大功率控制模块,RGB灯带电源接12V,其余RGB接3路pwm控制各个灯亮灭和亮度
2021-12-10 14:14:25 2.31MB stm32 pwm 8路pwm输出
1
atmega32 4路8位相位修正pwm输出代码
2021-11-12 16:32:27 580B atmega32 atmega16 4路 pwm
1
STM32F10X-TIM2-5—通用定时器-4路PWM输出例程源码,亲测可用
2021-11-01 18:06:37 299KB STM32 定时器 PWM输出
1
基于51单片机的三路PWM输出仿真设计资料 包含程序及proteus仿真文件
2021-08-30 22:04:02 52KB 51单片机 PWM
stm32f103zet6单片机通过串口从电脑端接收舵机的角度,然后输出两路pwm给两个舵机
2021-08-08 14:02:39 667KB stm32 串口 舵机 MCU
1