SV小项目—异步fifo的简单验证环境搭建(全)_Verification_White的博客-CSDN博客_fifo验证.mht
2023-04-11 14:21:21 4.7MB
1
包含system verilog / uvm 等相关讲义已经资料,适合IC设计,验证人员。
2022-07-13 18:10:00 136.08MB ASIC FPGA systemverilog uvm
基于UVM的UART验证环境
2022-02-06 13:01:42 624KB IC
1
AHB-APB_Bridge_UVM_Env AHB-APB UVM验证环境
2021-08-17 20:58:48 26KB SystemVerilog
1
本压缩包包括两个pdf文档,分别是《vcs student guide.pdf》和《数字逻辑芯片DV环境搭建.pdf》
2021-08-09 16:41:46 2.64MB vcs user guide 验证环境搭建
1
CDV验证思想.pptx
2021-07-08 17:09:07 287KB ASIC验证 验证环境
1
讲解了SystemVerilog语言的工作原理,介绍了类、 随机化和功能覆盖率等测试手段和概念,并且在创建测试平台方面提供了很多引导性的建议。借助大量的实例说明SystemVerilog的各种验证方法,以及如何根据实际的应用情况选择最优的方法达到尽可能高的覆盖率。而且,重点演示了如何使用 面向对象编程(OOP)的方法建立由覆盖率驱动并且受约束的基本的随机分层测试平台,此外,还论述了SystemVerilog与C语言的 接口技术。
2021-03-23 19:00:56 147.02MB ddr
1
讲解了SystemVerilog语言的工作原理,介绍了类、 随机化和功能覆盖率等测试手段和概念,并且在创建测试平台方面提供了很多引导性的建议。借助大量的实例说明SystemVerilog的各种验证方法,以及如何根据实际的应用情况选择最优的方法达到尽可能高的覆盖率。而且,重点演示了如何使用 面向对象编程(OOP)的方法建立由覆盖率驱动并且受约束的基本的随机分层测试平台,此外,还论述了SystemVerilog与C语言的 接口技术。
2021-03-23 19:00:56 147.02MB ddr
1
包含英文技术手册,及国内实际开发者编写的教程;另外,也会上传system verilog的中文教程,便于理解UVM的开发。通用验证方法学(Universal Verification Methodology, UVM)是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境
2019-12-21 18:54:04 6.19MB UVM 中英文
1