数字电路验证环境UVM实战教学

上传者: 41687610 | 上传时间: 2019-12-21 18:54:04 | 文件大小: 6.19MB | 文件类型: rar
包含英文技术手册,及国内实际开发者编写的教程;另外,也会上传system verilog的中文教程,便于理解UVM的开发。通用验证方法学(Universal Verification Methodology, UVM)是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。

文件下载

资源详情

[{"title":"( 2 个子文件 6.19MB ) 数字电路验证环境UVM实战教学","children":[{"title":"UVM_chinaese.pdf <span style='color:#111;'> 6.40MB </span>","children":null,"spread":false},{"title":"uvm_users_guide_1.2.pdf <span style='color:#111;'> 2.20MB </span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明