使用FPGA硬件资源实现浮点数除法运算,15个clk周期运算一次
2021-12-30 19:18:30 2KB FPGA 浮点数除法
1
汇编+C实现浮点数除法运算 汇编+C实现浮点数除法运算
2021-09-25 21:46:03 2KB 汇编 浮点数 除法
1
模拟计算机中浮点数的除法运算,采用二进制表示,符合IEEE7标准
2021-09-23 22:46:18 792B 浮点数除法
1
基于IEEE754标准的浮点数除法器,核心算法是取倒数相乘。
2021-05-07 15:03:30 3KB 牛顿迭代法 单精度浮点数除法
1
针对嵌入式处理器对面积要求极为苛刻的特点,提出了一种改进的基于Goldschmidt算法的双精度浮点除法器。 改进的除法算法的计算过程分为两个阶段,第一阶段采用线性minimax多项式逼近算法得到一个具有15-bit精度的除数倒数的估计值。相比于minimax 二次多项式逼近,一次多项式逼近会获得一个更小的查找表(LUT)以及在部分积累加过程中获得更少的计算量。 在第二阶段,采用基于硬件复用的方法实现两次Goldschmidt迭代,使得两次Goldschmidt迭代仅仅使用一个乘法器和一个求补单元。最后,该设计采用Verilog HDL进行编码,并基于FPGA进行实现。通过与其他算法进行比较得知,改进的Goldschmidt除法器在性能不降低的情况下有较小的面积开销,满足嵌入式处理器的需求。
1
浮点数的除法器设计,资料真的非常好,我做除法器的时候就是参考这本书的
2019-12-21 19:39:51 1.21MB 浮点数 除法器 Verilog
1