ad_0809 Verilog Hdl

上传者: zhoudaxian | 上传时间: 2019-12-21 22:21:11 | 文件大小: 140KB | 文件类型: rar
Verilog Hdl硬件描述语言,的ADC0809接口程序。

文件下载

资源详情

[{"title":"( 60 个子文件 140KB ) ad_0809 Verilog Hdl","children":[{"title":"ad_0809","children":[{"title":"ad_0809.flow.rpt <span style='color:#111;'> 3.82KB </span>","children":null,"spread":false},{"title":"ad_0809.asm.rpt <span style='color:#111;'> 6.74KB </span>","children":null,"spread":false},{"title":"ad_0809.qpf <span style='color:#111;'> 903B </span>","children":null,"spread":false},{"title":"ad_0809.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"ad_0809.fit.smsg <span style='color:#111;'> 334B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"ad_0809.psp <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"ad_0809.cmp.hdb <span style='color:#111;'> 5.72KB </span>","children":null,"spread":false},{"title":"ad_0809.cmp.kpt <span style='color:#111;'> 207B </span>","children":null,"spread":false},{"title":"ad_0809.sgdiff.cdb <span style='color:#111;'> 1.80KB </span>","children":null,"spread":false},{"title":"ad_0809.hier_info <span style='color:#111;'> 1.96KB </span>","children":null,"spread":false},{"title":"ad_0809.sim.vwf <span style='color:#111;'> 13.73KB </span>","children":null,"spread":false},{"title":"ad_0809.smp_dump.txt <span style='color:#111;'> 241B </span>","children":null,"spread":false},{"title":"ad_0809.(0).cnf.hdb <span style='color:#111;'> 971B </span>","children":null,"spread":false},{"title":"ad_0809.rtlv_sg.cdb <span style='color:#111;'> 2.51KB </span>","children":null,"spread":false},{"title":"ad_0809.(0).cnf.cdb <span style='color:#111;'> 2.44KB </span>","children":null,"spread":false},{"title":"ad_0809.tan.qmsg <span style='color:#111;'> 30.05KB </span>","children":null,"spread":false},{"title":"ad_0809.asm_labs.ddb <span style='color:#111;'> 9.67KB </span>","children":null,"spread":false},{"title":"ad_0809.rtlv.hdb <span style='color:#111;'> 5.69KB </span>","children":null,"spread":false},{"title":"ad_0809.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"ad_0809.hif <span style='color:#111;'> 774B </span>","children":null,"spread":false},{"title":"ad_0809.dbp <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"ad_0809.pre_map.hdb <span style='color:#111;'> 5.70KB </span>","children":null,"spread":false},{"title":"ad_0809.map.hdb <span style='color:#111;'> 5.53KB </span>","children":null,"spread":false},{"title":"ad_0809.sim.hdb <span style='color:#111;'> 2.30KB </span>","children":null,"spread":false},{"title":"ad_0809.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"ad_0809.db_info <span style='color:#111;'> 136B </span>","children":null,"spread":false},{"title":"ad_0809.map.qmsg <span style='color:#111;'> 6.62KB </span>","children":null,"spread":false},{"title":"ad_0809.cmp.rdb <span style='color:#111;'> 15.02KB </span>","children":null,"spread":false},{"title":"ad_0809.eds_overflow <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"ad_0809.rtlv_sg_swap.cdb <span style='color:#111;'> 158B </span>","children":null,"spread":false},{"title":"ad_0809.sgdiff.hdb <span style='color:#111;'> 5.79KB </span>","children":null,"spread":false},{"title":"ad_0809.cbx.xml <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"ad_0809.fit.qmsg <span style='color:#111;'> 34.39KB </span>","children":null,"spread":false},{"title":"ad_0809.sim.qmsg <span style='color:#111;'> 3.07KB </span>","children":null,"spread":false},{"title":"ad_0809.cmp.cdb <span style='color:#111;'> 6.09KB </span>","children":null,"spread":false},{"title":"wed.zsf <span style='color:#111;'> 223B </span>","children":null,"spread":false},{"title":"ad_0809.signalprobe.cdb <span style='color:#111;'> 341B </span>","children":null,"spread":false},{"title":"ad_0809.asm.qmsg <span style='color:#111;'> 1.75KB </span>","children":null,"spread":false},{"title":"ad_0809.sld_design_entry_dsc.sci <span style='color:#111;'> 134B </span>","children":null,"spread":false},{"title":"ad_0809.map.cdb <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"ad_0809.cmp.tdb <span style='color:#111;'> 3.98KB </span>","children":null,"spread":false},{"title":"ad_0809.pre_map.cdb <span style='color:#111;'> 2.55KB </span>","children":null,"spread":false},{"title":"ad_0809.eco.cdb <span style='color:#111;'> 141B </span>","children":null,"spread":false},{"title":"ad_0809.cmp0.ddb <span style='color:#111;'> 22.02KB </span>","children":null,"spread":false},{"title":"ad_0809.sim.rdb <span style='color:#111;'> 2.95KB </span>","children":null,"spread":false},{"title":"ad_0809.sld_design_entry.sci <span style='color:#111;'> 134B </span>","children":null,"spread":false},{"title":"ad_0809.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false}],"spread":false},{"title":"ad_0809.fit.summary <span style='color:#111;'> 365B </span>","children":null,"spread":false},{"title":"ad_0809.qws <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"ad_0809.sim.rpt <span style='color:#111;'> 16.23KB </span>","children":null,"spread":false},{"title":"ad_0809.tan.rpt <span style='color:#111;'> 26.28KB </span>","children":null,"spread":false},{"title":"ad_0809.map.summary <span style='color:#111;'> 291B </span>","children":null,"spread":false},{"title":"ad_0809.fit.rpt <span style='color:#111;'> 68.02KB </span>","children":null,"spread":false},{"title":"ad_0809.pin <span style='color:#111;'> 19.14KB </span>","children":null,"spread":false},{"title":"ad_0809.vwf <span style='color:#111;'> 13.68KB </span>","children":null,"spread":false},{"title":"ad_0809.qsf <span style='color:#111;'> 1.64KB </span>","children":null,"spread":false},{"title":"ad_0809.v <span style='color:#111;'> 3.84KB </span>","children":null,"spread":false},{"title":"ad_0809.pof <span style='color:#111;'> 14.67KB </span>","children":null,"spread":false},{"title":"ad_0809.map.rpt <span style='color:#111;'> 17.76KB </span>","children":null,"spread":false},{"title":"ad_0809.tan.summary <span style='color:#111;'> 1.47KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • tounei2977 :
    很不错哦,真的
    2017-10-17
  • 小夜灯008 :
    这个资源不错,非常楼主感谢,学习了。
    2013-12-21
  • tmd10086 :
    程序注释完整,很有参考价值
    2013-06-20
  • thlove110 :
    写得很详细,尤其是时序的问题
    2012-10-08
  • wuhao200315 :
    写得很详细,尤其是时序的问题,对我的毕设很有启发
    2011-12-14

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明