文档介绍了如何通过设置vivado与modelsim进行联合仿真,以及常见问题定位方法,希望能帮助到有需要的人。
2021-04-10 19:00:14 607KB vivado modelsim 仿真
1
Altrera的FPGA-FFT核ModelSim仿真测试代码,使用的是Qsys工具生成IP核仿真测试代码
2021-04-09 09:32:53 5.65MB FPGA FFT
1
fpga串口多字节、数据包发送。该资源是modelsim工程文件,下载后可直接用modelsim打开仿真。作者使用的版本是10.4
2021-04-08 02:54:35 52KB fpga verilog 串口发送 多字节
1
modelsim5.7-se安装软件,10多年前用的FPGA设计仿真软件,支持XP等32位老系统。
sdram控制器,包括了modelsim仿真
2021-03-06 21:36:47 17KB sdram
1
FPGA逻辑设计,硬件描述语言仿真,modelsim软件入门教程。
2021-02-02 12:34:32 4.59MB modelsim 仿真 入门教程
1
用ModelSim实现了Altera 乘法器IP核的仿真,有利于初学者学习
2019-12-21 22:19:17 12.15MB Altera 乘法器 IP核 Modelsim
1
1. 本实例用于控制开发板上面的SDRAM完成读写功能; 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 2. part1目录是使用Modelsim仿真的工程; 3. part2目录是在开发版上面验证的工程; 2.1. part1_32目录是4m32SDRAM的仿真工程; 2.2. part1_16目录是4m16SDRAM的仿真工程; \model文件夹里面是仿真模型; \rtl文件夹里面是源文件; \sim文件夹里面是仿真工程; \test_bench文件夹里面是测试文件; \wave文件夹里面是仿真波形。 3.1. 工程在\project文件夹里面; 3.2. 源文件和管脚分配在\rtl文件夹里面; 3.3. 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。
2019-12-21 22:18:57 2.07MB FPGA SDRAM 读写 Modelsim仿真
1
基于Verilog的ad7606的8通道并行同步采样,20K采样率(可调),modelsim仿真通过,包含仿真结果图
2019-12-21 22:15:35 8.24MB ad7606 Verilog 仿真
1
这是使用ModelSim仿真SDRAM时序操作的完整代码,其中还有PLL模块以及FIFO模块的仿真源码。
2019-12-21 21:01:01 4.18MB SDRAM Modelsim 仿真 FPGA
1