关于vhdl语言正弦信号发生器设计.pdf
1
乘客在乘坐出租车时常常因为不知道实际路程与时间,在计算价钱时与司机发生矛盾。因此本实验设计了一款在出租车内使用的,能够实时显示当前价格,路程与时间的计价器。本实验在EEEC-020A计算机组成/ISP实验系统上对设计进行验证。
2021-12-04 14:04:25 9.18MB VHDL VERILOG 出租车计价器 qurartus仿真
1
VHDL语言编写,应用于数字电路的课程设计,音乐发生器,内容是七子之歌
2021-12-04 11:24:00 564KB 音乐发生器 VHDL VERILOG 七子之歌
1
实现了简易计算器的fpga设计,可以实现加减乘基本功能,内部有操作文档
2021-12-03 23:08:15 2.43MB fpga vhdl 计算器
1
这是vhdl语言编写的数字钟的实现,使用的是altera cyclone4的芯片,简单易懂
2021-12-03 17:25:28 1.78MB vhdl fpga 数字钟
1
VHDL编写的计数器,本程序是从9开始倒数到0,可以根据自己的具体情况进行改编。
2021-12-03 16:04:33 610B 计时器 VHDL
1
摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。   关键词:VHDL;彩灯;仿真;控制   随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。这些控制装置均运用计算机技术、电子技术和声光技术,对被控灯光系统按设定的变化方案进行亮、
2021-12-03 09:06:45 74KB 基于VHDL的彩灯控制
1
vhdl写的八位数据锁存器,做FPGA逻辑设计基本模块
2021-12-03 08:52:23 1KB vhdl 锁存器
1
运行QuartusII 9.1,在菜单 Tool 里面找到 License Setup,记下 NIC ID,关闭Quartus II 9.1; 把license.dat内的 XXXXX... 用刚记下的NIC ID 替换,并将其复制到安装目录\altera\91\中; 将文件夹bin和bin64复制到目录\altera\91\quartus\中,覆盖掉相应的文件; 运行Quartus II 9.1; 当询问到license时,选择 "Specify valid license file",指定到目录\altera\91\license.dat
2021-12-02 23:42:56 667KB quartus9.1 quartus FPGA VHDL
1
利用QuartusⅡ编写的简易洗衣机控制器,问卷包含4个器件的VHDL工程,一个顶层设计文件。
2021-12-02 21:19:44 10.65MB VHDL 洗衣机 简易控制器 Quartus
1