用两个按键的不同组合来控制LED指示灯的状态: 1.没有手指触碰按键,LED灭; 2.手指按下左边按键,LED亮; 3.手指按下右边按键,LED亮; 4.手指同时按下按键,LED灭; 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
2021-03-31 20:06:07 309KB VHDL Verilog CPLD FPGA
1
4个独立式按键控制LED开关,仿真实例,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
4个独立式按键控制LED移位,仿真实例,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
使用外部中断的方式实现按键控制LED灯,不使用按键扫。适合刚入门的新手
2021-03-13 14:02:49 4.75MB 单片机 exti
1
EDA技术及应用课程相关实验:按键控制LED实验
2021-02-27 14:01:21 38KB EDA FPGA 源码 quartusII
1
开发板LPCC用arm实现的用按键控制发光二级管的点亮与熄灭
2020-01-03 11:41:29 2KB 按键
1
S5PV210按键控制LED,有需要的可以去下载哈
2020-01-03 11:24:01 1KB S5PV210 按键 LED
1
按键控制MSP430F5529单片机控制灯闪烁+按键控制LED新手程序,这个程序是我在编写完之后进行编译成功版,第一次使用430F5529单片机的新手同学可以试一试
2019-12-21 20:21:03 1KB 430控制LED
1
stm32使用pwm按键控制led灯强度。此代码用按键控制pwm的占空比改变电压,从而来控制led灯的光亮强度
2019-12-21 18:57:43 2.18MB stm32 pwm
1