QUARTUS II 编译报错Error: Run Generate Functional Simulation Netlist (...) to generate functional simulation netlist for top level entity bmg_control before running the Simulator (quartus_sim)的解决方案
2021-05-12 18:49:36 13KB QUARTUS-II 编译报错 Generate Functional
1
多位数码管显示所选楼层&LED为电梯当前楼层
2021-05-12 15:22:45 588KB verilog 电梯 FPGA
1
自创性毕业设计论文答辩PPT,有需要参考的朋友拿去 文档记录清晰,格式标准,另外有具体源码,有需要的朋友私信给我索要
2021-05-11 23:00:57 905KB FPGA 答辩 毕业设计 quartus
1
难得的一个中文版使用手册可以看看,不过最好还是看英文原版啦。
2021-05-11 15:19:21 2.15MB Quartus手册
1
里面有: AN 471:采用 TimeQuest 进行高性能 FPGA PLL 分析 (PDF) .pdf Quartus II TimeQuest时序分析器手册(PDF).pdf SDC 和 TimeQuest API 参考手册 (PDF) .pdf
2021-05-11 15:11:30 825KB SDC TimeQuest
1
●在16*16点阵电路上显示圆圈变小 设置四个或四个以上状态,实现圆圈变小
2021-05-11 14:03:05 1.19MB QuartusII EDA 圆圈显示
1
QUARTUS II 编译报错top level design entity “...” is undefined的解决方法,很实用
2021-05-10 19:16:29 13KB QUARTUS 编译报错 top level
1
1.进行正常的时、分计时功能,二十四小时制计时 2.由数码管显示24h、60min 3.设置时间 4.整点报时 5.闹钟功能
2021-05-09 22:55:18 4.7MB VHDL 闹钟开关 整点报时
1
在programmer的时候却找不到硬件,显示no hardware。
2021-05-09 15:21:29 37KB QUARTUS 找不到硬件 USB blaster
1
QuartusII+Qsys+NIOSII+SDRAM+DE2_115样例具体实现. 以详细的步骤实现了全部过程
2021-05-07 16:24:49 3.64MB Quartus Qsys NiosII SDRAM
1