利用FPGA控制VGA输出在CRT显示器上实现乒乓球游戏,工程在\project文件夹里面;源文件和管脚分配在\rtl文件夹里面;下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。在xilinx xc3s400调试通过
2019-12-21 19:51:55 998KB FPGA VGA 乒乓球 verilog
1
基于FPGA的VGA显示的乒乓球游戏机Verilog设计,里面包含多篇课程设计论文,对乒乓球游戏机Verilog设计进行了详细的说明,还附有部分源码。有需要的朋友可以参考下
2019-12-21 19:51:55 3.36MB FPGA VGA 乒乓球游戏机 Verilog
1
这是本人自己写的程序,加上注释,与大家分享,虽然平台是Xilinx,但是放在quartus 里面一样能用啊!你了解的!!!
2019-12-21 19:50:21 1.29MB 基于Verilog的VGA显示 汉字 字符 的例子
1
VGA基础知识介绍,各类VGA的运行原来,起源介绍。
2019-12-21 19:50:04 8.37MB VGA
1
PS2键盘控制模型电子琴电路设计,VGA动态显示,可弹可录可自动播放,并且时钟计时
2019-12-21 19:49:14 737KB PS2 电子琴 VGA
1
fpga-Verilog-图片-文字vga显示 fpga用vga显示图片和文字。 刚完成的
2019-12-21 19:47:06 3.23MB fpga vga显示 Verilog
1
标准VGA接口详细资料
2019-12-21 19:42:54 123KB VGA
1
本设计能显示出10信号的,而且十个通道能通过按键设置不同的分频系数,对100Mhz一下的频率的信号进行处理
2019-12-21 19:42:03 1.53MB 逻辑分析仪
1
使用vivado工具实现的OV7725_VGA视频采集并显示,在Zedboard上的实现
2019-12-21 19:39:20 15.93MB OV7725 Zedboard VGA
1
Verilog实现sobel图像边缘检测,并利用VGA显示,各模块均生成了bdf模块搭建在顶层文件中,直观方便,值得学习,本人CSDN博客里有相关讲解
2019-12-21 19:38:52 6.45MB FPGA;Verilog VGA; sobel; 数字图像处理
1