https://zhuanlan.zhihu.com/p/71582795 (深入理解离散傅里叶变换(DFT))的配套代码
2021-12-26 20:06:20 3KB FFT
1
本程序利用S-FFT算法实现菲涅尔衍射的计算,并图示给出衍射光斑的比较。衍射屏默认为圆孔,其他形状可自行修改。
2021-12-26 10:07:12 1KB MATLAB  S-FFT
1
fft在DSP上的详细实现方法 本文介绍基于TI TMS320C64x+ DSP 的FFT(快速傅立叶变换)的实现,并讨论相关性 能。
2021-12-25 21:01:48 764KB fft dsp
1
基于DSP的 FFT算法实现的研究,李欢,,快速傅氏变换(FFT)可以明显地降低运算量,成为数字信号处理的基本工具和迅速发展的动力。 本文利用德州仪器(TI)的TMS320C2XX系列DSP处
2021-12-25 20:58:11 220KB 数字信号处理
1
傅立叶变换 傅立叶反变换 快速傅立叶变换 DFT IDFT FFT 公式及原理 非常清楚
1
SAR影像的傅里叶变换,通过对SAR影像的处理来获取频谱图
2021-12-25 10:19:49 1KB SAR fft
1
详细介绍了数字信号处理中用FFT作谱分析
2021-12-24 15:47:28 185KB FFT作谱分析
1
dsp C6748 可用, FFT幅值谱 调用了DSP官方库函数实现的加速度幅值谱,未做频域积分,可运行,压缩包包含代码和需要的.ILB文件
2021-12-24 10:53:13 3.48MB FFT C语言 幅值谱 频谱
1
8点基2时间抽取FFT算法流图 X1[0] X1[1] X1[2] X1[3] X2[0] X2[1] X2[2] X2[3] X [0] X [1] X [2] X [3] X [4] X [5] X [6] X [7] -1 -1 -1 -1 4点DFT 4点DFT x[0] x[2] x[4] x[6] x[1] x[3] x[5] x[7]
1
本文介绍了一种基于Xilinx IP核的FFT算法的设计与实现方法。在分析FFT算法模块图的基础上,以Xilinx Spartan-3A DSP系列FPGA为平台,通过调用FFT IP核,验证FFT算法在中低端FPGA中的可行性和可靠性。
2021-12-23 11:00:17 150KB xilinx 算法 FFT IP核
1