Spartan 6 FPGA 设计HC-SR04超声波测距实验VERILOG源码 Xilinx ISE14.6 工程文件 /每秒产生1个超声波测距模块所需的10us高脉冲激励,并用chipscope pro查看回响信号 module sp6( input ext_clk_25m, //外部输入25MHz时钟信号 input ext_rst_n, //外部输入复位信号,低电平有效 output ultrasound_trig, //超声波测距模块脉冲激励信号,10us的高脉冲 input ultrasound_echo, //超声波测距模块回响信号 output[0:0] led //D2指示灯 ); //------------------------------------- //PLL例化 wire clk_12m5; //PLL输出12.5MHz时钟 wire clk_25m; //PLL输出25MHz时钟 wire clk_50m; //PLL输出50MHz时钟 wire clk_100m; //PLL输出100MHz时钟 wire sys_rst_n; //PLL输出的locked信号,作为FPGA内部的复位信号,低电平复位,高电平正常工作 pll_controller uut_pll_controller (// Clock in ports .CLK_IN1(ext_clk_25m), // IN // Clock out ports .CLK_OUT1(clk_12m5), // OUT .CLK_OUT2(clk_25m), // OUT .CLK_OUT3(clk_50m), // OUT .CLK_OUT4(clk_100m), // OUT // Status and control signals .RESET(~ext_rst_n),// IN .LOCKED(sys_rst_n)); // OUT //------------------------------------- //25MHz时钟进行分频,产生一个100KHz频率的时钟使能信号 wire clk_100khz_en; //100KHz频率的一个时钟使能信号,即每10us产生一个时钟脉冲 clkdiv_generation uut_clkdiv_generation( .clk(clk_25m), //时钟信号 .rst_n(sys_rst_n), //复位信号,低电平有效 .clk_100khz_en(clk_100khz_en) //100KHz频率的一个时钟使能信号,即每10us产生一个时钟脉冲 ); //------------------------------------- //每秒产生一个10us的高脉冲作为超声波测距模块的激励 ultrasound_controller uut_ultrasound_controller( .clk(clk_25m), //时钟信号 .rst_n(sys_rst_n), //复位信号,低电平有效 .clk_100khz_en(clk_100khz_en), //100KHz频率的一个时钟使能信号,即每10us产生一个时钟脉冲 .ultrasound_trig(ultrasound_trig), //超声波测距模块脉冲激励信号,10us的高脉冲 .ultrasound_echo(ultrasound_echo) //超声波测距模块回响信号 ); //------------------------------------- //input信号必须经过IBUF后,才能作为chipscope中查看 wire ultrasound_echo_r; IBUF #( .IOSTANDARD("DEFAULT") // Specify the input I/O standard )IBUF_inst ( .O(ultrasound_echo_r), // Buffer output .I(ultrasound_echo) // Buffer input (connect directly to top-level port) ); assign led[0] = ultrasound_echo_
从零开始设计一个CPU (Verilog) 版本 V1.0 vivado 2019.2 ========================= 资源 B站 视频地址: 作业说明(包括指令设计和模块结构) 版权问题不再提供下载: 源码(未打包): 打包工程(vivado打开即用): 参考讲义 版权问题不再提供下载: 汇编程序 给定一个非零自然数N,计算小于N的自然数之和 0000100011 //0// READ INPUT TO R3 1000000001 //1// INIT R0 = 1 1010000000 //2// INIT R1 = 0 0100010100 //3// R1 = R0 + R1 0010000001 //4// R0 = R0 + 1 0001110011 //5// IF R0 < R3 THEN Z = 0 ELSE Z = 1 0001010011 //6/
2021-12-09 14:53:57 21.69MB Verilog
1
通过按键输入学号,并循环显示:电路功能描述:通过Ego1上的按键输入自己的学号(8位10进制数),并存储在32位的寄存器中;8位10进制数输入完成后,实现滚动显示效果。
2021-11-30 22:56:46 653KB VHDL/FPGA/Verilog Verilog
【主要内容】FPGA的DDS信号发生器 : DDS_Verilog+源码工程+仿真工程+视频教程+原理图PCB图【适合人群】软件开发【质量保障】任何问题私信我
2021-11-11 21:02:24 595.45MB verilog DDS信号发生器 DDS_Verilog 源码工程
USB2.0 verilog源码: 提供了USB2.0的verilog源码, 与USB1.0兼容
2021-11-05 10:35:07 192KB USB
1
哈尔滨工业大学的数电大作业 [FPGA]Verilog源码+实验报告 Basys2开发板制作电子骰子 数电大作业适用
2021-11-02 17:01:36 184KB 数电 Verilog FPGA Basys2
verilog-fp 浮点协处理器(Verilog)
2021-10-29 14:30:41 1.9MB SystemVerilog
1
ppm解码器,ppm解码器接线,Verilog源码.zip
2021-10-15 09:02:04 1.33MB
ppm编解码器,ppm解码板,Verilog源码
2021-10-15 09:02:03 27KB
基于FPGA的任意波形发生器,基于fpga的任意波形发生器系统设计报告,Verilog源码.zip
2021-10-01 09:04:16 11.33MB