OPT的VERILOG代码,供学verilog的人使用
2022-12-20 18:38:20 2KB 8位OPT verilog
1
FPGA语言:Verilog经典教程 夏雨闻。一本非常不错的硬件语言描述教程,如果你懂C语言,那么学习起来会非常轻松愉快。
2022-12-19 22:34:21 1.62MB FPGA Verilog HDL 硬件语言
1
集成电路作业,Verilog设计3-8译码器、8位全加器、四分之一分频器(时钟周期clk=50ns),内含相对应的测试代码。
1
Advanced Digital Design with the Verilog HDL
2022-12-19 14:45:40 43.92MB Verilog HDL
1
Matlab代码verilog Rick Lyon的Matlab AC版本的Hogenauer的CIC滤波器寄存器修剪算法的实现。 生成一个Verilog文件,其中包含以修剪后的位宽展开的对积分器和梳理部分的展开调用。 假设您有一个如下所示的Verilog包装器: wire signed [IN_WIDTH-1:0] in = ...; wire signed [OUT_WIDTH-1:0] out; `include "cic_test.vh" 生成的代码调用的模块“ cic_integrator”和“ cic_comb”实现了实际的CIC过滤器(包含在包中的示例)。 变量“ in”和“ out”连接到生成的代码。 请参阅Rick的原始文章,网址为: 有关更多信息,请访问:
2022-12-17 22:01:56 9KB 系统开源
1
asic rtl设计 40节课,附送代码。
2022-12-17 18:07:43 575.85MB asic
1
FPGA图像处理入门代码 灰度直方图的verilog实现
2022-12-16 11:13:43 6KB FPGA 图像处理
1
SPU32 这是SPU32(“小型处理单元32”),它是实现RV32I指令集的紧凑型RISC-V处理器。 还包括一个演示SoC,具有一些外围设备。 该项目以Verilog旨在使用开源。 SoC概述: 中央处理器 向量 CPU使用以下向量,可以在实例化CPU模块时通过参数对其进行配置: VECTOR_RESET :复位后CPU将开始执行的内存地址。 默认设置为0x00000000 VECTOR_EXCEPTION :CPU跳转到该内存地址以处理中断(例如,外部中断或软件中断)和异常(例如,非法指令)的位置。 默认情况下设置为0x00000010 。 中断和异常 CPU支持以下类型的中断和异常: 使用ecall和ebreak指令进行软件中断 例如由外围设备引起的外部中断 非法/未知指示 如果发生任何类型的中断,CPU将跳转到VECTOR_EXCEPTION ,该处应有一个处理例程
2022-12-15 21:43:47 269KB fpga verilog icestorm risc-v
1
1. Verilog快速入门 1. 基础语法 VL1 四选一多路器 VL2 异步复位的串联T触发器 LV3 奇偶校验 VL4 移位运算与乘法 LV5 位拆分与运算 VL6 多功能数据处理器 VL7 求两个数的差值 VL8 使用generate…for语句简化代码 VL9 使用子模块实现三输入数的大小比较 VL10 使用函数实现数据大小端转换 02 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器 03 时序逻辑 VL21 根据状态转移表实现时序电路 VL22 根据状态转移图实现时序电路 VL23 ROM的简单实现 VL24 边沿检测 2 Verilog进阶挑战 01 序列检测 VL25 输入序列连续的序列检测 VL26 含有无关项的序列检测 VL27 不重叠序列检测 VL28 输入序列不连续的序列检测 02 时序逻辑 VL29 信号发生器 VL30 数据串转并电路 .....
2022-12-15 17:25:26 23KB verilog 牛客网 FPGA
1
OV5640简介,主控制器控制RESET PWDN两个信号按上电时序要求变化,之后允许ov_config模块配置内部寄存器。这里始终将PWDN拉低。实验中将摄像头分辨率设置为720p,即1280*720 ,帧率为30fps,图像输出格式是RGB565。此时摄像头输入时钟XCLK频率24MHz,输出像素时钟PCLK为84MHz。由于实验使用的是OV5640双目摄像头模组,且XCLK由外部24MHz晶振给出.
2022-12-15 16:43:55 1.4MB ov5640 verilog
1