xilinx KU系列2片板贴DDR4颗粒读写测试,与F7-DDR4读写测试相对应
2023-11-09 15:47:24 129.85MB DDR4 FPGA
1
编程语言为Verilog,工程包含DAC数模转换、ADC采集、FIFO存储器、UART串口发送等部分。可实现128点连续AD采集,代码中可通过改变FIFO存储器的深度、adc_fifo.v和fifo_uart_tx.v两个模块中的计数器改变采集的点数。系统留出了Start端口,可连接按键,实现一键采样,全程自动采样并且通过串口发送采集到的数据。工程中还添加了整套系统的仿真文件,可通过modelsim实现仿真,代码讲解对应《FPGA学习笔记》专栏下的《数据采集传输系统设计》系列文章。
2023-11-07 10:39:57 3.47MB fpga开发 Verilog AD采集 FIFO存储器
1
基于FPGA的FFT计算架构主要分为四种类型:顺序架构、并行架构、流水架构和阵列架构。流水结构是利用时间并行的计算方法,将重复的计算过程分解为多级进行计算,各级之间以流水的方式在时间上并行计算。 MDF架构是SDF架构的并行版本。最初的MDF架构由多个SDF架构通过变换电路连接而成。一般来说,MDF由多个相互连接的SDF路径组成,每个路径负责管理一个并行输入流。这种设计有助于有效利用寄存器的继承,节省了内存资源。 四路并行基2-DIF MDF FFT的具体架构如图 7所示,可以看到四路并行MDF FFT架构是SDF FFT的并行版本,从单个通道变为了4个通道。在前8个阶段,每个通道都相当于单个SDF在运行,每个通道的数据互不影响,在最后两阶段一起处理四路数据,输出最终结果。
2023-11-06 16:47:50 20.02MB fpga开发
1
从FPGA基础讲起,引导读者快速入门,21个典型实例,全面讲解FPGA在各个领域的应用,提供Altera和QuartusII和Xilinx的ISE两个版本的源文件下载,图文并茂,轻松阅读。
2023-11-06 16:05:23 41.34MB fpga 典型实例
1
基于Intel(Altera)的Quartus II平台(复制一下就可以很方便地迁移到其他FPGA平台,如Xilinx的Vivado),使用FPGA实现的频率测量的3种方法的工程源码: 1、3种频率测量方法分别是直接测量法,间接测量法,等精度测量法; 2、依据环境实现对高频及低频信号的频率测量; 3、详细的设计源码; 4、详细的仿真源码、仿真设置和仿真结果; 5、更详细的说明请参考本人博文《https://wuzhikai.blog.csdn.net/article/details/112326945》。
2023-11-05 17:26:10 77.32MB fpga开发
1
本文介绍了ZYNQ芯片的裸机编程方法,包括硬件平台的搭建、裸机程序的编写、调试和优化等方面。作者详细讲解了ZYNQ芯片的架构和寄存器的使用方法,并给出了多个实例,帮助读者深入理解ZYNQ芯片的裸机编程。本文适合有一定FPGA开发经验的工程师和学生学习。
2023-10-28 15:45:29 38.77MB fpga/cpld
1
FPGA IP 源码解密 Vivado加密的IP文件解密复原为Verilog或者VHDL源码 Modelsim可以编译仿真的vp加密文件均可以解密复原为Verilog或者VHDL源码 符合P1735格式保护的代码基本都可以解密还原源代码
2023-10-17 18:50:46 152KB fpga开发 网络协议 软件/插件 安全
1
Xilinx FPGA ICAP原语实现多重配置,文章地址:https://blog.csdn.net/whik1194/article/details/130471755
2023-10-16 15:31:59 2.47MB fpga开发
1
基于FPGA网络开发资料,包括源码,10BASE-TX的以太网MAC层开发及应用实例。
2023-10-14 16:37:00 3KB FPGA 以太网
1
紫光fpga logos2 pango design 开发工具 黑金 axp100开发板资料 带 ddr 以及高速收发器例子 有双相机采集存储 ddr 后进行hdmi输出叠加显示的工程 包含1多个例子工程 2 ddr与hsst ip 3 ddr使用手册 4 例子工程教程
2023-10-13 09:33:10 2.25MB fpga开发 开发工具
1