FPGA数据采集传输系统

上传者: 46140768 | 上传时间: 2023-11-07 10:39:57 | 文件大小: 3.47MB | 文件类型: ZIP
编程语言为Verilog,工程包含DAC数模转换、ADC采集、FIFO存储器、UART串口发送等部分。可实现128点连续AD采集,代码中可通过改变FIFO存储器的深度、adc_fifo.v和fifo_uart_tx.v两个模块中的计数器改变采集的点数。系统留出了Start端口,可连接按键,实现一键采样,全程自动采样并且通过串口发送采集到的数据。工程中还添加了整套系统的仿真文件,可通过modelsim实现仿真,代码讲解对应《FPGA学习笔记》专栏下的《数据采集传输系统设计》系列文章。

文件下载

资源详情

[{"title":"( 175 个子文件 3.47MB ) FPGA数据采集传输系统","children":[{"title":"_info <span style='color:#111;'> 13.42KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 10.40KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.25KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 6.39KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.08KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 4.28KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 3.07KB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.ammdb <span style='color:#111;'> 133B </span>","children":null,"spread":false},{"title":"uart_data_rx.v.bak <span style='color:#111;'> 3.85KB </span>","children":null,"spread":false},{"title":"adc128s052.v.bak <span style='color:#111;'> 3.49KB </span>","children":null,"spread":false},{"title":"uart_data_tx.v.bak <span style='color:#111;'> 2.50KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"adc_data_send_top.v.bak <span style='color:#111;'> 882B </span>","children":null,"spread":false},{"title":"fifo_tb.v.bak <span style='color:#111;'> 722B </span>","children":null,"spread":false},{"title":"adc_fifo.v.bak <span style='color:#111;'> 623B </span>","children":null,"spread":false},{"title":"adc_data_send_top_tb.v.bak <span style='color:#111;'> 546B </span>","children":null,"spread":false},{"title":"fifo_uart_tx.v.bak <span style='color:#111;'> 436B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak1 <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak10 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak11 <span style='color:#111;'> 2.34KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak2 <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak3 <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak4 <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak5 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak6 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak7 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak8 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do.bak9 <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.bpm <span style='color:#111;'> 730B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.rtlv_sg.cdb <span style='color:#111;'> 36.22KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.cdb <span style='color:#111;'> 19.75KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.cdb <span style='color:#111;'> 19.69KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(2).cnf.cdb <span style='color:#111;'> 7.45KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(11).cnf.cdb <span style='color:#111;'> 7.15KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(12).cnf.cdb <span style='color:#111;'> 5.97KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(1).cnf.cdb <span style='color:#111;'> 5.83KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(8).cnf.cdb <span style='color:#111;'> 3.31KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.rtlv_sg_swap.cdb <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(10).cnf.cdb <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(7).cnf.cdb <span style='color:#111;'> 3.23KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(9).cnf.cdb <span style='color:#111;'> 2.43KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(6).cnf.cdb <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(0).cnf.cdb <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map_bb.cdb <span style='color:#111;'> 2.06KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(3).cnf.cdb <span style='color:#111;'> 1.73KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.56KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(4).cnf.cdb <span style='color:#111;'> 1.54KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(5).cnf.cdb <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.reg_db.cdb <span style='color:#111;'> 613B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.db_info <span style='color:#111;'> 144B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.db_info <span style='color:#111;'> 144B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.tis_db_list.ddb <span style='color:#111;'> 242B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_run_msim_rtl_verilog.do <span style='color:#111;'> 2.34KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.dpi <span style='color:#111;'> 2.36KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.pre_map.hdb <span style='color:#111;'> 23.64KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.hdb <span style='color:#111;'> 22.95KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.rtlv.hdb <span style='color:#111;'> 22.84KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.hbdb.hdb <span style='color:#111;'> 21.75KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.hdb <span style='color:#111;'> 21.64KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map_bb.hdb <span style='color:#111;'> 13.04KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(2).cnf.hdb <span style='color:#111;'> 1.70KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(1).cnf.hdb <span style='color:#111;'> 1.63KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(12).cnf.hdb <span style='color:#111;'> 1.56KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(11).cnf.hdb <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(0).cnf.hdb <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(3).cnf.hdb <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(7).cnf.hdb <span style='color:#111;'> 996B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(8).cnf.hdb <span style='color:#111;'> 978B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(10).cnf.hdb <span style='color:#111;'> 972B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(9).cnf.hdb <span style='color:#111;'> 838B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(6).cnf.hdb <span style='color:#111;'> 795B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(4).cnf.hdb <span style='color:#111;'> 706B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.(5).cnf.hdb <span style='color:#111;'> 582B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.hier_info <span style='color:#111;'> 27.38KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.hif <span style='color:#111;'> 1.90KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.lpc.html <span style='color:#111;'> 3.09KB </span>","children":null,"spread":false},{"title":"modelsim.ini <span style='color:#111;'> 88.25KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send_partition_pins.json <span style='color:#111;'> 778B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.kpt <span style='color:#111;'> 5.01KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.root_partition.map.kpt <span style='color:#111;'> 4.99KB </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.cmp_merge.kpt <span style='color:#111;'> 218B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"ADC_DATA_Send.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"msim_transcript <span style='color:#111;'> 26.08KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 64.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明