OV7670摄像头模块负责图像的实时釆集工作。OV7670内部相关寄存器的配置信息被写入到控制模块,在程序运行时通过I²C总线与OV7670的I²C接口进行信息交互,将OV7670初始化为VGA分辨率、输出RGB565格式数据的模式。釆集到的图像信息经过写FIFO后,在釆用Verilog HDL设计的SDRAM控制器的控制下,被送至SDRAM进行存储。图像数据经过图像处理模块(Sobel)被发送到VGA控制器,经VGA接口电路,显示在VGA显器上。
2019-12-21 20:39:48 8.17MB FPGA Sobel Verilog
1
STM32F103ZET6+OV7670+LCDTFT,显示256灰阶
2019-12-21 20:36:18 3.3MB OV7670
1
STM32F407 OV7670摄像头例程源码
2019-12-21 20:31:47 471KB 摄像头开发
1
ov7670摄像头 stm32F4 TFT显示实时的拍摄图像
2019-12-21 20:30:04 5.4MB ov7670
1
飞思卡尔K60 驱动摄像头OV7670程序
2019-12-21 20:28:45 12.02MB K60 OV7670 摄像头
1
STM32F407摄像头OV7670测试工程,利用DCMI+DMA接口驱动OV7670,将读取到的数据通过串口发送至上位机显示照片,里面包含OV7670驱动代码,keil测试工程,上位机软件。
2019-12-21 20:28:21 24.64MB STM32F4 摄像头 OV7670 上位机
1
STM32F103C8T6移植OV7670带FIFO摄像头,按照说明接好线,运行工程即可,100%成功,使用非常方便,希望能够帮助大家^_^
2019-12-21 20:25:46 58KB STM32F103 OV7670
1
本人已亲自测试成功,喜欢视频呢处理的童鞋可以参考
2019-12-21 20:23:22 5.18MB FPGA SDRAM verilog ov7670
1
stm32开发OV7670源码,QT上位机源码,C语言处理图像源码
2019-12-21 20:15:31 7.54MB stm32 OV7670 QT
1
OV7670摄像头彻底解读
2019-12-21 20:13:39 1.57MB OV7670 摄像头 彻底解读
1